Faʻapitoa faʻagasologa mo PCB gaioiga o matagaluega laupapa

1. Faʻaopoopoga faʻasologa faʻaopopo
E faʻatatau i le faʻatupuina o le tuputupu aʻe o laina eletise i le lotoifale ma le vailaʻau apamemea o loʻo i luga o le vaega e le o ni mea faʻataʻitaʻi ma le fesoasoani a isi tagata e teteʻe i le teteʻe (tagaʻi i le itulau 62, Nu. O le faʻaopoopoga metotia faʻaaogaina i matagaluega laupapa mafai ona vaevaeina i atoa faʻaopoopoina, semi faʻaopopoina ma vaega faʻaopoopo.
2. Papatusi lagolago
O se ituaiga o matagaluega laupapa ma mafiafia mafiafia (pei o le 0.093 “, 0.125”), lea e faʻaaogaina faʻapitoa e fono ma faʻafesoʻotaʻi isi laupapa. O le metotia o le muamua faʻaofi le tele pin connector i totonu o le oomi i totonu o le pu e aunoa ma le faʻapipiʻiina, ona toʻatasi ai lea o le uaea i le auala o le faʻapipiʻiina i luga o taʻiala pine o le soʻosoʻo pasi i totonu o le laupapa. O se lautele matagaluega laupapa mafai ona faʻaofiina i le fesoʻotaʻiga. Talu ai o le ala pu o lenei laupapa faʻapitoa e le mafai ona faʻapipiʻiina, ae o le pu puipui ma le taʻiala pine e faʻapipiʻi faʻapitoa mo le faʻaaogaina, o lona uiga ma agavaʻa manaʻoga e matua faʻapitoa lava, ma lona oka aofaʻi e le tele. E le manaʻo ma faigata ona talia le oka lea a le au fai fale laupapa lautele, lea ua toeititi lava avea ma pisinisi tulaga maualuga i Amerika.
3. Fausia le gaioiga
Lenei o se manifinifi multi-layer ipu metotia i se fou fanua. O le amataga o le Malamalama na mafua mai i le SLC gaioiga a IBM ma amata faʻataʻitaʻi le gaosiga i le fale gaosimea a Yasu i Iapani i le 1989. O lenei metotia e faʻavae i luga o le tuʻufaʻatasiga lua-itu ipu. O le pito i fafo papatusi ua maeʻa ufiufi ma suavai photosensitive muamua e pei o probmer 52. Ina ua maeʻa semi faʻamaʻaʻaina ma photosensitive ata iugafono, se papaʻu “ata e ala i” fesoʻotaʻi ma le isi vaega pito i lalo na faia, Ina ua maeʻa vailaʻau apamemea ma electroplated apamemea e faʻaaoga e faʻateleina faʻateleina le vaega faʻatonu, ma a maeʻa laina ata ma faʻapipiʻiina, fou uaea ma lua tanu po o pogisa pu fesoʻotaʻi ma le pito i lalo vaega mafai ona maua. I lenei auala, o le manaʻomia numera o faaputuga o multilayer laupapa mafai ona maua e ala i le faʻaopopoina o vaega faʻatele. O lenei metotia e le gata ina aloese mai le taugata masini viliina tau, ae faʻaititia foi le pu lapoa i lalo ifo o le 10mil. I le lima i le ono tausaga ua tuanaʻi, o ituaiga eseese o multilayer laupapa tekonolosi e talepeina le tu masani ma faaaoga vaega i lea vaega na faʻaauauina pea e tagata gaosi oloa i le Iunaite Setete, Iapani ma Europa, faia nei fausiaina gaioiga lauiloa, ma e sili atu nai lo sefulu ituaiga o oloa i luga o le maketi. I se faʻaopopoga i luga “fotosensitive pore fausiaina”; E i ai foi eseese “pore fausiaina” auala e pei o alkaline uʻuina vailaʻau, leisa ablasi ma plasma faʻapipiʻiina mo palasitika peleni pe a uma ona aveʻesea le ‘apamemea paʻu i le pu nofoaga. I se faʻaopopoga, o se ituaiga fou o le “resin coated apa uʻamea apamemea” coated ma semi maaʻaina resin mafai ona faʻaaogaina e faia manifinifi, lapoʻa, laʻititi ma manifinifi laupapa multilayer e faasologa lamination. I le lumanaʻi, o oloa eseese eletise o le a avea ma lalolagi o lenei sili ona manifinifi, puʻupuʻu ma tele-vaega laupapa.
4. Cermet Taojin
E fefiloi le paʻu keramika ma le pauta uʻamea, ona faʻaopopo lea o le faʻapipiʻi o se ufiufi. E mafai ona faʻaaogaina o le ie tuʻuina o le “resistor” i luga o le laupapa matagaluega luga (poʻo totonu vaega) i le tulaga o le mafiafia ata po o le manifinifi lolomi ata, ina ia suia ai le fafo resistor i le taimi o potopoto.
5. Co fanaina
O se gaosiga gaioiga o ceramic laupapa matagaluega hybrid. O taamilosaga lolomiina ma ituaiga eseese o uamea taua mafiafia ata tifaga faapipii luga o le tamaʻi laupapa ua fanaina i le vevela maualuga. O fualaʻau eseese e feaveaʻi i totonu o le mafiafia o ata tifaga faapipii ua susunuina, ma tuua ai laina o taua uamea conductors o uaea fesoʻotaʻi.
6. Kolosi kolosi
O le felavasaʻi o laina e lua o laina faʻataʻotoʻe ma faʻataʻamilo i luga o le laupapa, ma le faʻatulagaina o le paʻu ua faʻatumuina i mea e faʻamama ai mea. E masani lava, o le carbon jumper film e faʻaopopoina i luga o le lanumeamata vali luga o le tasi vaega, poʻo le uaea i luga ma lalo o le vaega faʻaopoopo metotia e pei o le “sopoʻia”.
7. Fausia laupapa uaea
O lona uiga, o le isi faʻaaliga o tele tele laupapa faʻavae e fausiaina i le faʻapipiʻiina o le liʻo enamelled uaea i luga o le laupapa luga ma faʻaopopo i pu. O le faʻatinoina o lenei ituaiga o tuʻufaʻatasiga laupapa i le maualuga-taimi laina faʻasalalauina e sili atu nai lo le mafolafola faataamilosaga matagaluega fausia e etching lautele PCB.
8. Dycosttrate plasma etching hole faʻaopoopo metotia auala
O se fausiaina gaioiga atiaʻe e se kamupani dyconex tu i Zurich, Suiselani. O se metotia e faʻapipiʻi ai le pepa apamemea i tulaga pu taʻitasi i luga o le ipu muamua, ona tuu lea i totonu o se siosiomaga gaogao tapunia, ma faʻatumu CF4, N2 ma O2 e faʻamamaina i lalo o le maualuga voltage e fausia ai plasma ma maualuga gaioiga, ina ia Faʻamau le substrate i le pu tulaga ma maua tamai pailate pu (lalo 10mil). O lana pisinisi e faʻaigoaina ole dycostrate.
9. Na teuina e le Electro le tagata fai ata
O se fou auala fausiaina o le “photoresist”. Na muamua faʻaaoga mo le “vali eletise” o mea uʻamea ma foliga faigata. Faʻatoʻa faʻailoaina nei i totonu o le talosaga o le “photoresist”. O le faiga faʻaaogaina le electroplating metotia e tutusa paleni le molia colloidal fasimea o optically maaleale molia resin i luga o le apamemea luga o le matagaluega laupapa o se anti etching inhibitor. I le taimi nei, na faʻaaogaina i le tele o gaosiga i le tuusao apamemea etching gaioiga o totonu ipu. Lenei ituaiga o ED photoresist mafai ona tuʻu i luga o le anode poʻo le cathode e tusa ai ma auala eseese o faʻagaioiga, lea e taʻua o le “anode type electric photoresist” ma le “cathode type electric photoresist”. E tusa ma eseʻese mataupu malamalama photosensitive, e lua ituaiga: le lelei galue ma lelei galue. I le taimi nei, o le le lelei galue ed photoresist ua faʻapisinisi, ae e mafai ona faʻaaogaina o se planar photoresist. Talu ai e faigata ona photosensitize i le ala pu, e le mafai ona faʻaaogaina mo le faʻaliliuina o ata o le pito i fafo ipu. Ae mo le “lelei ed” e mafai ona faʻaaogaina o se photoresist mo le pito i fafo ipu (aua o se photosensitive decomposition ata tifaga, e ui o le photosensitivity i luga o le pu pa e le lava, e leai sona aʻafiaga). I le taimi nei, o loʻo faʻamalosia pea taumafaiga a le pisinisi a Iapani, ma le faʻamoemoe e faʻatino pisinisi tetele, ina ia faʻafaigofie ai ona gaosia laina manifinifi. O lenei faaupuga e taʻua foi o le “electrophoretic photoresist”.
10. Flush conductor embedded circuit, flat conductor
O se faʻapitoa matagaluega laupapa o lona laualuga e atoa mafolafola ma uma conductor laina oomi i totonu o le ipu. O le tasi laulau metotia o le etch se vaega o le apamemea pepa i luga o le semi faʻamaloloina substrate ipu e ala ata faʻaliliu auala e maua ai le matagaluega. Ona oomi lea o le laupapa luga matagaluega i totonu o le semi maaʻa ipu i le ala o le maualuga vevela ma maualuga uunaiga, ma i le taimi lava e tasi, le faamaʻaʻaina o le ipu resin mafai ona maeʻa, ina ia avea ma se matagaluega laupapa ma laina mafolafola uma retr retraced i luga. E masani lava, o se manifinifi apamemea vaega manaʻomia e fai sina faʻaofuofu o luga o le matagaluega luga lea na toe aveʻese le laupapa, ina ia mafai ai ona isi 0.3mil limasene vaega, 20 micro inisi inisi rhodium poʻo 10 micro inisi auro faʻaputuga, ina ia mafai ona faʻafesoʻotaʻi teteʻe mafai ona maualalo ma e faigofie ona faaseʻe peʻa faʻasolosolo fesoʻotaʻi faia. Peitaʻi, e le tatau ona faʻaaogaina le PTH i lenei metotia e puipuia ai le pu mai le olopalaina i le taimi oomiina i totonu, ma e le faigofie mo lenei laupapa ona ausia se tulaga lamolemole atoatoa, pe mafai foi ona faʻaaogaina ile vevela maualuga e puipuia ai le laina mai tuleia i fafo o le pito i luga ina ua maeʻa resin faʻalauteleina. O lenei tekonolosi e taua foi o le etch ma tulei metotia, ma o le maeʻa laupapa ua taua o le flush fusia laupapa, lea e mafai ona faʻaaogaina mo faʻamoemoe faʻapitoa e pei o le Roteri ki ma faʻafesoʻotaʻi fesoʻotaʻiga.
11. Fua tioata frit
I se faʻaopopoga i vailaʻau taua uamea, tioata efuefu manaʻomia e faʻaopopoina i le mafiafia tifaga (PTF) lolomi faʻapipiʻi, ina ia mafai ai ona faia taʻaloga i le agglomeration ma adhesion aafiaga i le vevela-vevela incineration, ina ia le lolomi faʻapipiʻi luga o le avanoa keramika substrate mafai ona fausia ai se mautu taua faʻasologa uʻamea faiga.
12. Faʻatumuina faʻaopopo gaioiga
O se metotia o le totoina filifili filifili i luga o le atoa insulated ipu luga e electrodeposition metala metotia (o le tele o ia o vailaʻau apamemea), lea e taua o le “atoa faʻaopoopo metotia”. O leisi faʻamatalaga le saʻo o le “atoa eletise” auala.
13. Hybrid tuʻufaʻatasia matagaluega
O le aoga faʻataʻitaʻiga fesoʻotaʻi ma se matagaluega mo le faʻaaogaina taua uʻamea conductive vaitusi i luga o se tamai poselane manifinifi ipu laʻau e ala i le lolomiina, ona susunuina lea o le mea totoina mea i le vaitusi i le maualuga vevela, tuʻuina o se ave taʻamilosaga luga o le ipu luga, ma faʻapipiʻi o luga luga fusia vaega mafai ona faʻataunuʻuina. O le faʻaoga lelei e faʻatatau i se taʻamilosaga feaveaʻi i le va o le lolomi laupapa laupapa ma le semiconductor tuʻufaʻatasia masini matagaluega, lea e aofia ai le mafiafia faʻatekonolosi ata. I le popofou o aso, na faʻaaoga mo militeli pe maualuga-taimi masani talosaga. I tausaga ua tuanaʻi, talu ai le taugata o le tau, o le faʻaititia o le militeri, ma le faigata o le otometi gaosiga, faʻatasi ai ma le faʻateleina o le miniaturization ma le faʻatulagaina o matagaluega laupapa, o le tuputupu aʻe o lenei hybrid e sili atu le maualalo nai lo lena i le amataga tausaga.
14. Fesoʻotaʻiga fesoʻotaʻi interposer
Interposer e faʻasino i ni vaega se lua o taʻavale feaveaʻi o loʻo aveina e se mea faʻapipiʻi e mafai ona fesoʻotaʻi e ala i le faʻaopopoina o ni mea faʻatumu i le nofoaga e fesoʻotaʻi ai. Mo se faʻataʻitaʻiga, afai o le leai ni pu o papatusi tele-vaega ua faʻatumuina i siliva faapipii poʻo le apamemea faʻapipiʻi e sui ai le orthodox ‘apamemea pu puipui, poʻo mea e pei o le faʻatutuina unidirectional adhesive adhesive layer, latou uma auai i lenei ituaiga o interposer.