Yakakosha maitiro ePCB yekugadzirisa wedunhu bhodhi

1. Kuwedzera maitiro ekuwedzera
Iyo inoreva kune yakananga kukura nzira yemakondakita conductor mitsara nemakemikari mhangura dura pamusoro peasinga-conductor substrate nerubatsiro rwekuwedzera kuramba mumiririri (ona peji 62, Nha. 47, Rondedzero yedunhu redare ruzivo ruzivo). Idzi nzira dzekuwedzera dzinoshandiswa mumabhodhi edunhu dzinogona kuve dzakakamurwa kuita kuzere kuwedzera, semi kuwedzera uye kusarura kuwedzera.
2. Kutsigira mahwendefa
Iyo mhando yedunhu bhodhi ine gobvu gobvu (senge 0.093 “, 0.125”), iyo inonyanya kushandiswa kuvhara nekubata mamwe mabhodhi. Iyo nzira ndeyekutanga kuisa iyo yakawanda pini yekubatanidza mune yekutsikirira nepaburi isina kuita soldering, uye wozadza waya imwe neimwe munzira yekutenderera pane yega pini pini yeiyo yekubatanidza ichipfuura nepabhodhi. A general circuit board inogona kuiswa mune chinobatanidza. Nekuti iro gomba repakati peiyi bhodhi rakakosha harigone kutengeswa, asi gomba remadziro uye pini yekunongedzera yakanamirwa zvakananga kuti ishandiswe, saka hunhu hwayo nekuzarura zvinodiwa zvinonyanya kuomarara, uye kuwanda kwayo kuwanda hakusi kwakawanda. General wedunhu bhodhi vagadziri havadi uye zvakaoma kugamuchira iyi odha, iyo yoda kuve yakave yepamusoro-giredhi rakakosha indasitiri muUnited States.
3. Kuvaka maitiro
Iyi inzira yakatetepa yakawanda-yakaturikidzana ndiro mundima nyowani. Kuvhenekerwa kwekutanga kwakatangira kubva kuSLC maitiro eBM uye kwakatanga kugadzirwa kwekuyedza muYasu fekitori muJapan muna 1989. Iyi nzira inoenderana neyakajairika-mativi ndiro. Mahwendefa maviri ekunze akaputirwa zvizere nemvura inovhenekera maficha epamberi senge probmer 52. Mushure mekuomarara uye kugadzirisa kwemifananidzo, “isina kudzikiswa” foto kuburikidza “yakabatana neinotevera yepasi rukoko inogadzirwa, Mushure memakemikari mhangura nemhangura yakasarudzwa kuti ishandiswe kuwedzera kondakita rukoko, uye mushure mekufungidzira kwemutsara nekucheka, waya nyowani nemakomba akavigwa kana maburi mapofu akasunganidzwa neyepasi pasi anogona kuwanikwa. Nenzira iyi, inodiwa nhamba yezvikamu zve multilayer board inogona kuwanikwa nekuwedzera maturu kakawanda. Iyi nzira haigone chete kudzivirira iyo inodhura mehendi yekuchera mutengo, asi zvakare kuderedza gomba dhayamita kusvika pasi pe10mil. Mumakore mashanu kusvika matanhatu apfuura, akasiyana siyana multilayer bhodhi matekinoroji ayo anotyora tsika uye anotora nhanho nedenga zvave zvichiramba zvichisimudzirwa nevagadziri muUnited States, Japan neEurope, zvichiita izvi kuvaka matanho ane mukurumbira, uye kune zvinopfuura mhando gumi zvigadzirwa pamusika. Mukuwedzera kune pamusoro apa “photosensitive pore forming”; Kune dzimwewo nzira dzakasiyana dze “pore kutengeneza” senge alkaline chemakemikari inoruma, laser ablation uye plasma etching yemanyowa mahwatera mushure mekubvisa ganda remhangura panzvimbo yemugodhi. Uye zvakare, imwe mhando nyowani ye “resin yakavharwa mhangura foil” yakamonerwa ne semi kuomesa resin inogona kushandiswa kugadzira matete, denser, diki uye akaonda mativi multilayer mabhodhi nekuteedzana lamination. Mune ramangwana, zvigadzirwa zvemhando dzakasiyana zvemagetsi zvichave nyika yeiyi yakatetepa, ipfupi uye yakawanda-yakaturikidzana bhodhi.
4. Cermet Taojin
Iyo ceramic upfu hwakasanganiswa nesimbi hupfu, uyezve iyo yekunamatira inowedzerwa seyekupfeka. Inogona kushandiswa sekugadzwa kwemachira kwe “resistor” padunhu redunhu pamusoro (kana mukatikati memukati) muchimiro chefirimu gobvu kana mutete wekudhindisa firimu, kuitira kutsiva iyo yekudzivirira yekunze panguva yegungano.
5. Co kupfura
Iyo yekugadzira maitiro e ceramic hybrid wedunhu bhodhi. Iwo macircuit akadhindwa nemhando dzakasiyana siyana dzesimbi yakakora yakakora firimu kunama pabhodhi diki zvinofurwa nepamusoro tembiricha. Iwo akasiyana ma organic ma carriers mune mukobvu wefirimu unama anopiswa, achisiya mitsara yeakakosha simbi maconductor senge waya dzakabatana.
6. Kuyambuka Crossover
Kupindirana kwehunyambiri kwemaviri akamira uye akatwasuka makondakita ari pamusoro pebhodhi, uye donhwe remharadzano rizere neyakavharidzira svikiro. Kazhinji, kabhoni firimu jumper inowedzerwa pane girini pendi pamusoro peimwe pani, kana iyo wiring pamusoro uye pazasi peiyo yekuwedzera nzira yakadaro “kuyambuka”.
7. Gadzira wiring board
Ndokunge, kumwe kutaurwa kwemazhinji wiring bhodhi kunoumbwa nekubatanidza denderedzwa enamelled waya pane iyo bhodhi pamusoro uye kuwedzera kuburikidza nemakomba. Kuitwa kwerudzi urwu rweyakaumbwa bhodhi mune yakakwira-frequency kutapurirana mutsetse kuri nani pane iyo yakati sandara mativi wedunhu akaumbwa neatching general PCB.
8. Dycosttrate plasma etching hole inowedzera rukoko nzira
Iyo yekuvaka nzira inogadzirwa nekambani yedyconex iri muZurich, Switzerland. Iyi inzira yekuchinjisa iyo foil foil pane yega gomba chinzvimbo pane ndiro pamusoro pekutanga, wozoiisa munzvimbo yakavharika yekutsvaira, uye zadza CF4, N2 uye O2 kuti ionize pasi pemagetsi emagetsi kuumba plasma ine yakakwira chiitiko, kuitira kuti etch substrate panzvimbo yegomba uye gadzira maburi madiki ekutyaira (pazasi pe10mil). Maitiro ayo ekutengesa anonzi dycostrate.
9. Electro yakaiswa photoresist
Iyi inzira nyowani yekuvaka ye “photoresist”. Iyo pakutanga yaishandiswa “kupenda kwemagetsi” kwezvinhu zvesimbi zvine chimiro chakaomarara. Iyo ichangobva kuburitswa mukushandisa kwe “photoresist”. Iyo sisitimu inotora iyo electroplating nzira yekupfeka zvakaenzana iyo yakakwenenzverwa macalloidal particles e optically inonamira yakachinjiswa resin pane yemhangura pamusoro pebhodhi redunhu seanorwisa etching inhibitor. Parizvino, rave richishandiswa mukugadzirwa kwakawanda mune yakananga mhangura etching maitiro eplate yemukati. Mhando iyi yeED photoresist inogona kuiswa pane anode kana cathode zvinoenderana nenzira dzakasiyana dzekushanda, iyo inonzi “anode mhando yemagetsi photoresist” uye “cathode mhando yemagetsi photoresist”. Zvinoenderana nematanho akasiyana eepikicha, pane mhando mbiri: kushanda kwakashata uye kushanda kwakanaka. Parizvino, yakaipa kushanda ed photoresist yakashambadzirwa, asi inogona chete kushandiswa seye planar photoresist. Nekuti zvakaoma kutora photosensitize mukati megomba, haigone kushandiswa kuchinjisa mufananidzo weplate yekunze. Kana iri “positive ed” iyo inogona kushandiswa seye fotoresist yeplate yekunze (nekuti iyo fotoensitive yekuora firimu, kunyangwe iyo photosensitivity pane iyo gomba madziro haina kukwana, haina zvazvinoita). Parizvino, indasitiri yeJapan ichiri kuwedzera basa rayo, ichitarisira kuita kugadzirwa kwemazhinji, kuitira kuti kugadzirwa kwemitsara mitete kuve nyore. Izwi iri rinonziwo “electrophoretic photoresist”.
10. Flush kondakita yakadzamidzirwa dunhu, yakati sandara conductor
Iyo yakakosha redunhu bhodhi ine pamusoro yakatsemuka uye mitsara yese yekondakita yakadzvanywa muplate. Iyo imwechete mapaneru nzira ndeyekucheka chikamu chemhangura foil pane yakasara yakaporeswa substrate ndiro nenzira yekufambisa mufananidzo kuti utore dunhu. Wobva wadzvanya bhodhi pamusoro wedunhu mukati meyakaomeswa ndiro munzira yekukwirira tembiricha uye yakanyanya kumanikidza, uye panguva imwe chete, iko kuomesa mashandiro eplate resin kunogona kupedzwa, kuti uve wedunhu bhodhi ine mitsetse yakatetepa yakadzoserwa mukati pamusoro. Kazhinji, nhete yemhangura yakatetepa inoda kuvezwa zvishoma kubva padunhu iro bhodhi rakadzoserwa, kuitira kuti imwe 0.3mil nickel yakaturikidzana, 20 micro inch rhodium layer kana 10 micro inch yegoridhe dura inogona kuifukidza, kuitira kuti iko kuonana kuramba kunogona kuderera uye zviri nyore kutsvedza kana kutsvedza kusanganikwa kwaitwa. Nekudaro, PTH haifanire kushandiswa nenzira iyi kudzivirira iyo gomba kubva pakupwanywa panguva yekutsikirira mukati, uye hazvisi nyore kuti bhodhi iri ribudirire rakatsetseka zvakakwana, uye harigone kushandiswa mukushisa kwakanyanya kudzivirira mutsetse kubva kusundirwa kunze kwepamusoro mushure mekuwedzera resini. Iyi tekinoroji inonzi zvakare etch uye Push nzira, uye bhodhi rakapedzwa rinonzi flush bonded board, iyo inogona kushandiswa kune akakosha zvinangwa senge rotary switch uye wiring kutaurirana.
11. Frit girazi frit
Pamusoro pemakemikari esimbi anokosha, girazi hupfu hunoda kuwedzerwa kune gobvu firimu (PTF) yekudhinda paste, kuitira kuti ipe mutambo kune iyo agglomeration uye adhesion mhedzisiro mune yakanyanya-tembiricha kupisa, kuitira kuti iko kudhinda kunamatira pane isina chinhu ceramic substrate. inogona kuumba yakasimba yakakosha simbi wedunhu system.
12. Yakazara yekuwedzera maitiro
Iyi inzira yekukura maseketi akasarudzika pane yakasungirirwa ndiro pamusoro ne electrodeposition simbi nzira (mazhinji acho ari kemikari yemhangura), iyo inonzi “yakazara yekuwedzera nzira”. Chimwe chirevo chisiri icho inzira “yakazara isina magetsi”.
13. Hybrid yakasanganiswa wedunhu
Iyo yekushandisa modhi inoenderana nedunhu rekushandisa yakakosha simbi inoitisa inki pane diki porcelain yakatetepa base ndiro nekupurinda, uye nekupisa iyo organic chinhu muingi neyakaipisisa tembiricha, ichisiya conductor wedunhu pane iyo plate pamusoro, uye kuwaya kwepasi kwakasungwa. zvikamu zvinogona kuitwa. Iyo modhi yekushandisa ine chekuita nemutakuri wedunhu pakati pepepuru redunhu bhodhi uye semiconductor yakasanganiswa wedunhu kifaa, chiri cheyakawandisa firimu tekinoroji. Mumazuva ekutanga, yaishandiswa muchiuto kana kumusoro-frequency kunyorera. Mumakore achangopfuura, nekuda kwemutengo wakakwira, kuderera kwemauto, uye kuoma kwekugadzira otomatiki, pamwe nekuwedzera miniaturization uye kunyatsoita kwemabhodhi edunhu, kukura kweiyo hybridi kwakaderera zvakanyanya kupfuura kuya mumakore ekutanga.
14. Interposer interconnect kondakita
Interposer inoreva chero maviri matete evatakuri anotakurwa nechinhu chinodzivirira icho chinogona kusunganidzwa nekuwedzera mamwe mafekitori ekuzadza panzvimbo inofanirwa kubatana. Semuenzaniso, kana maburi asina kubikwa eakawanda-mahwendefa mahwendefa akazadzwa nesirivha yekunamatira kana mhangura yemhangura kutsiva iwo orthodox mhangura gomba madziro, kana zvishandiso senge yakatwasuka unidirectional conductive adhesive layer, ese ndeemhando iyi yeanopinza.