Cúig threoirlíne Dearaidh PCB nach mór do dhearthóirí PCB a fhoghlaim

Ag tús an dearaidh nua, caitheadh ​​an chuid is mó den am ar dhearadh ciorcad agus ar roghnú comhpháirteanna, agus ar an PCB is minic nár measadh leagan amach agus céim sreangaithe go cuimsitheach mar gheall ar easpa taithí. Mura ndéantar dóthain ama agus iarrachta a chaitheamh ar leagan amach PCB agus ar chéim ródaithe an dearaidh d’fhéadfadh fadhbanna a bheith ann ag céim na déantúsaíochta nó lochtanna feidhmiúla nuair a aistrítear an dearadh ón bhfearann ​​digiteach go dtí an réaltacht fhisiceach. Mar sin, cad í an eochair chun bord ciorcad a dhearadh atá barántúil ar pháipéar agus i bhfoirm fhisiceach? Déanaimis iniúchadh ar na cúig threoirlíne dearaidh PCB is fearr atá ar eolas agat agus PCB inúsáidte, feidhmiúil á dhearadh.

ipcb

1 – Déan leagan amach do chomhpháirt a mhionchoigeartú

Is eolaíocht agus ealaín an chéim socrúcháin comhpháirteanna den phróiseas leagan amach PCB, a éilíonn breithniú straitéiseach ar na príomhchodanna atá ar fáil ar an gclár. Cé gur féidir leis an bpróiseas seo a bheith dúshlánach, cinnfidh an bealach a leagann tú an leictreonaic cé chomh furasta agus atá sé do bhord a mhonarú agus cé chomh maith agus a chomhlíonann sé do bhunriachtanais dearaidh.

Cé go bhfuil ordú ginearálta ginearálta ann maidir le socrúchán comhpháirteanna, mar shampla socrúchán seicheamhach nascóirí, comhpháirteanna gléasta PCB, ciorcaid chumhachta, ciorcaid bheachtais, ciorcaid chriticiúla, srl., Tá roinnt treoirlínte sonracha ann freisin le coinneáil i gcuimhne, lena n-áirítear:

Treoshuíomh – Cuideoidh a chinntiú go bhfuil comhpháirteanna comhchosúla suite sa treo céanna le próiseas táthú éifeachtach agus saor ó earráidí a bhaint amach.

Socrúchán – Seachain comhpháirteanna níos lú a chur taobh thiar de chomhpháirteanna níos mó áit a bhféadfadh sádráil comhpháirteanna níos mó dul i bhfeidhm orthu.

Eagrú – Moltar na comhpháirteanna uile dromchla dromchla (SMT) a chur ar an taobh céanna den chlár agus na comhpháirteanna uile trípholl (TH) a chur ar bharr an bhoird chun céimeanna cóimeála a íoslaghdú.

Treoirlíne deiridh dearaidh PCB amháin – agus comhpháirteanna teicneolaíochta measctha (comhpháirteanna trí pholl agus suite dromchla) á n-úsáid aige, d’fhéadfadh go mbeadh próisis bhreise ag teastáil ón monaróir chun an bord a chur le chéile, rud a chuirfidh le do chostas foriomlán.

Treoshuíomh maith comhpháirteanna sliseanna (ar chlé) agus droch-threoshuíomh comhpháirteanna sliseanna (ar dheis)

Socrúchán maith comhpháirteanna (ar chlé) agus socrúchán droch-chomhpháirt (ar dheis)

Uimh. 2 – Socrú ceart cumhachta, bunú agus sreangú comhartha

Tar éis duit na comhpháirteanna a chur, is féidir leat an soláthar cumhachta, an talamh agus an sreangú comhartha a chur ansin chun a chinntiú go bhfuil cosán glan, saor ó thrioblóid ag do chomhartha. Ag an gcéim seo den phróiseas leagan amach, coinnigh na treoirlínte seo a leanas i gcuimhne:

Aimsigh an soláthar cumhachta agus na sraitheanna eitleáin ar an talamh

Moltar i gcónaí an soláthar cumhachta agus na sraitheanna eitleáin talún a chur taobh istigh den chlár agus iad a bheith siméadrach agus láraithe. Cuidíonn sé seo le do bhord ciorcad a chosc ó lúbadh, rud atá tábhachtach freisin má tá do chomhpháirteanna suite i gceart. Chun an IC a chumhachtú, moltar cainéal coiteann a úsáid le haghaidh gach soláthar cumhachta, leithead sreangaithe daingean agus seasmhach a chinntiú, agus naisc chumhachta slabhra Daisy ó ghléas go feiste a sheachaint.

Tá cáblaí comhartha ceangailte trí cháblaí

Ansin, ceangail an líne comhartha de réir an dearaidh sa léaráid scéimeach. Moltar an cosán is giorra agus an cosán díreach idir comhpháirteanna a ghlacadh i gcónaí. Más gá do chomhpháirteanna a shuíomh go cothrománach gan chlaonadh, moltar duit comhpháirteanna an bhoird a shreangú go cothrománach san áit a dtagann siad amach as an sreang agus ansin iad a shreangú go hingearach tar éis dóibh teacht amach as an sreang. Coinneoidh sé seo an chomhpháirt i riocht cothrománach de réir mar a théann an sádróir ar imirce le linn an táthú. Mar a thaispeántar sa leath uachtarach den fhigiúr thíos. D’fhéadfadh sraonadh comhpháirteanna a bheith mar thoradh ar an sreangú comhartha a thaispeántar sa chuid íochtarach den fhigiúr de réir mar a shreabhann an sádróir le linn an táthú.

Sreangú molta (léiríonn saigheada treo sreafa solder)

Sreangú neamh-mholta (léiríonn saigheada treo sreafa solder)

Sainmhínigh leithead an líonra

D’fhéadfadh go mbeadh líonraí éagsúla ag teastáil ó do dhearadh a mbeidh sruthanna éagsúla ag gabháil leo, a chinnfidh an leithead líonra riachtanach. Agus an bunriachtanas seo á mheas, moltar leithead 0.010 “(10mil) a sholáthar do chomharthaí analógacha agus digiteacha reatha íseal. Nuair a sháraíonn do shruth líne 0.3 aimpéar, ba cheart é a leathnú. Seo áireamhán leithead líne saor in aisce chun an próiseas tiontaithe a dhéanamh éasca.

Uimhir a trí. Coraintín éifeachtach

Is dócha go raibh taithí agat ar an gcaoi ar féidir le spící móra voltais agus reatha cur isteach ar do chiorcaid rialaithe reatha ísealvoltais. Chun fadhbanna cur isteach den sórt sin a íoslaghdú, lean na treoirlínte seo a leanas:

Leithlisiú – Déan cinnte go gcoinnítear gach foinse cumhachta ar leithligh ón bhfoinse cumhachta agus ón bhfoinse rialaithe. Má chaithfidh tú iad a nascadh le chéile sa PCB, déan cinnte go bhfuil sé chomh gar agus is féidir do dheireadh an chosáin chumhachta.

Leagan Amach – Má chuir tú eitleán talún sa chiseal lár, bí cinnte cosán beag impedance a chur ann chun an riosca go gcuirfear isteach ar chiorcad cumhachta ar bith a laghdú agus chun do chomhartha rialaithe a chosaint. Is féidir na treoirlínte céanna a leanúint chun do chuid digiteach agus analógach a choinneáil ar leithligh.

Cúpláil – Chun cúpláil capacitive a laghdú mar gheall ar eitleáin mhóra talún a chur agus sreangú os a gcionn, déan iarracht talamh insamhalta a thrasnú ach trí línte comhartha analógacha.

Samplaí aonrúcháin comhpháirteanna (digiteach agus analógach)

Uimh. 4 – Réitigh an fhadhb teasa

An raibh díghrádú feidhmíochta ciorcad agat riamh nó fiú damáiste do bhoird chiorcaid mar gheall ar fhadhbanna teasa? Toisc nach ndéantar machnamh ar dhiomailt teasa, bhí go leor fadhbanna ag cur ina luí ar go leor dearthóirí. Seo roinnt treoirlínte le coinneáil i gcuimhne chun cabhrú le fadhbanna diomailt teasa a réiteach:

Comhpháirteanna trioblóideacha a aithint

Is é an chéad chéim ná tosú ag smaoineamh ar na comhpháirteanna a dhíscaoileann an teas is mó ón gclár. Is féidir é seo a dhéanamh tríd an leibhéal “friotaíocht teirmeach” a fháil ar bhileog sonraí na comhpháirte ar dtús agus ansin na treoirlínte a mholtar a leanúint chun an teas a ghintear a aistriú. Ar ndóigh, is féidir leat radaitheoirí agus lucht leanúna fuaraithe a chur leis chun comhpháirteanna a choinneáil fionnuar, agus cuimhnigh comhpháirteanna criticiúla a choinneáil ar shiúl ó aon fhoinsí teasa arda.

Cuir ceapacha aer te leis

Tá sé an-úsáideach pads aer te a chur le cláir chiorcaid inúsáidte, tá siad riachtanach le haghaidh comhpháirteanna ard-ábhar copair agus feidhmchláir sádrála tonnta ar chláir chiorcaid ilchisealacha. Mar gheall ar an deacracht atá ann teocht an phróisis a choinneáil, moltar i gcónaí pads aer te a úsáid ar chomhpháirteanna trípholl chun an próiseas táthúcháin a dhéanamh chomh simplí agus is féidir tríd an ráta diomailt teasa ag bioráin na gcomhpháirteanna a mhoilliú.

Mar riail ghinearálta, ceangail aon pholl trí-pholl nó trí-pholl atá ceangailte leis an talamh nó leis an eitleán cumhachta i gcónaí agus eochaircheap aer te á úsáid agat. Chomh maith le ceapacha aer te, is féidir leat titeann cuimilt a chur leis ag suíomh na líne ceangail ceap chun tacaíocht bhreise scragall copair / miotail a sholáthar. Cabhróidh sé seo le strus meicniúil agus teirmeach a laghdú.

Ceangal tipiciúil aer te

Eolaíocht ceap aer te:

Is minic a bhíonn fuinneamh leictreach spontáineach ag go leor innealtóirí atá i gceannas ar Phróiseas nó ar SMT i monarcha, mar shampla lochtanna boird leictreach mar fholús spontáineach, dí-fhliuchadh, nó fliuchadh fuar. Is cuma conas na coinníollacha próisis a athrú nó teocht na foirnéise táthú a athlíonadh conas a choigeartú, tá cion áirithe stáin ann nach féidir a tháthú. Cad é an ifreann atá ar siúl anseo?

Seachas fadhb ocsaídiúcháin na gcomhpháirteanna agus na gclár ciorcad, déan imscrúdú ar fhilleadh dó tar éis go dtagann cuid an-mhór den drochtháthú táthú atá ann i ndáiríre ó dhearadh sreangú (leagan amach) an bhoird chiorcaid, agus tá ceann de na cinn is coitianta ar chomhpháirteanna a cosa táthúcháin áirithe atá ceangailte leis an mbileog copair de limistéar mór, na comhpháirteanna seo tar éis cosa táthú sádrála sádrála, D’fhéadfadh fadhbanna táthú bréagacha nó cumhdaigh a bheith ina gcúis le roinnt comhpháirteanna atá táthaithe le lámh mar gheall ar chásanna comhchosúla, agus fiú go dteipeann ar chuid acu na comhpháirteanna a tháthú mar gheall ar théamh ró-fhada.

Is minic go gcaithfidh PCB ginearálta i ndearadh an chiorcaid limistéar mór scragall copair a leagan mar sholáthar cumhachta (Vcc, Vdd nó Vss) agus Ground (GND, Ground). Is gnách go mbíonn na réimsí móra scragall copair seo ceangailte go díreach le roinnt ciorcad rialaithe (ICS) agus bioráin comhpháirteanna leictreonacha.

Ar an drochuair, más mian linn na ceantair mhóra seo de scragall copair a théamh go teocht an stáin leá, de ghnáth tógann sé níos mó ama ná ceapacha aonair (bíonn an téamh níos moille), agus bíonn an diomailt teasa níos gasta. Nuair a bhíonn foirceann amháin de shreangú scragall copair chomh mór sin ceangailte le comhpháirteanna beaga cosúil le friotaíocht beag agus toilleas beag, agus nach bhfuil an foirceann eile, is furasta fadhbanna a tháthú mar gheall ar neamhréireacht stáin leá agus am soladú; Mura ndéantar cuar teochta an táthú athlíonta a choigeartú go maith, agus mura bhfuil an t-am réamhthéite leordhóthanach, is furasta na cosa sádrála de na comhpháirteanna seo atá ceangailte le scragall mór copair a bheith ina gcúis le fadhb an táthú fíorúil toisc nach féidir leo teocht an stáin leá a bhaint amach.

Le linn Sádrála Láimhe, scaipfidh na hailt sádrála comhpháirteanna atá ceangailte le scragall mór copair ró-thapa le críochnú laistigh den am riachtanach. Is iad na lochtanna is coitianta sádráil agus sádráil fhíorúil, áit nach bhfuil sádráil táthaithe ach le bioráin na comhpháirte agus nach bhfuil ceangailte le ceap an bhoird chiorcaid. Ón gcuma, cruthóidh an comhpháirteach solder liathróid; Céard atá níos mó, an t-oibreoir d’fhonn na cosa táthúcháin a tháthú ar an gclár ciorcad agus teocht an iarainn sádrála a mhéadú i gcónaí, nó a théamh ar feadh rófhada, ionas go sáróidh na comhpháirteanna an teocht agus an damáiste friotaíochta teasa i ngan fhios dóibh. Mar a thaispeántar san fhigiúr thíos.

Ós rud é go bhfuil pointe na faidhbe ar eolas againn, is féidir linn an fhadhb a réiteach. Go ginearálta, teastaíonn dearadh an cheapa um Fhaoiseamh Teirmeach mar a thugtar air chun an fhadhb táthúcháin de bharr chosa táthúcháin eilimintí ceangail scragall copair mór a réiteach. Mar a thaispeántar san fhigiúr thíos, ní úsáideann an sreangú ar chlé ceap aer te, agus tá nasc ceap aer te glactha ag an sreangú ar dheis. Is féidir a fheiceáil nach bhfuil ach cúpla líne bheag sa limistéar teagmhála idir an ceap agus an scragall mór copair, rud a chuireann go mór le cailliúint teochta ar an eochaircheap agus éifeacht táthúcháin níos fearr a bhaint amach.

Uimhir 5 – Seiceáil do chuid oibre

Is furasta a bheith róbhuartha ag deireadh tionscadail dearaidh nuair a bhíonn tú ag crúthú agus ag puffáil na bpíosaí go léir le chéile. Dá bhrí sin, má dhéantar seiceáil dhúbailte agus triple ar d’iarracht dearaidh ag an gcéim seo, d’fhéadfadh go gciallódh sé an difríocht idir rath déantúsaíochta agus teip.

Chun cabhrú leis an bpróiseas rialaithe cáilíochta a chur i gcrích, molaimid i gcónaí go dtosófá le seiceáil Riail leictreach (ERC) agus seiceáil Riail dearaidh (DRC) chun a fhíorú go gcomhlíonann do dhearadh na rialacha agus na srianta uile go hiomlán. Leis an dá chóras, is féidir leat leithead imréitigh, leithead líne, Socruithe monaraíochta coitianta, riachtanais ardluais agus ciorcaid ghearra a sheiceáil go héasca.

Nuair a tháirgeann do ERC agus DRC torthaí saor ó earráidí, moltar duit sreangú gach comhartha a sheiceáil, ó scéimre go PCB, líne comhartha amháin ag an am chun a chinntiú nach bhfuil aon fhaisnéis in easnamh ort. Chomh maith leis sin, bain úsáid as cumais phromhaidh agus chumhdaigh d’uirlis dearaidh chun a chinntiú go bhfuil d’ábhar leagan amach PCB comhoiriúnach le do scéimre.