PCB (lolomi laupapa matagaluega) Faʻatomuaga

Lolomiina Komiti Faatino Circuie (PCB) e puʻupuʻu mo Lolomi matagaluega Komiti Faatino. E masani lava i mea faʻaʻesega, e tusa ai ma le faʻavae fuafuaina, faia i lomia lomia, lolomiina vaega poʻo se tuʻufaʻatasia o uma conductive ata valaauina lomia matagaluega. O le conductive kalafi o le eletise fesoʻotaʻiga i le va o vaega saunia i luga o le insulated substrate ua taʻua lolomi matagaluega. I lenei auala, o le lolomiga matagaluega poʻo lolomi laina o le maeʻa laupapa ua taʻua o lolomi laupapa laupapa, e taʻua foi o le lolomi laupapa poʻo le lolomi laupapa laupapa.

E taua tele le PCB mo le toeititi lava o masini eletise e mafai ona tatou vaʻaia, mai i uati faʻatekonolosi, calculator ma komepiuta lautele i komupiuta, fesoʻotaʻiga faʻaeletise meafaigaluega ma le militeri faiga. Pau lava le mea a leai ni eletise eletise pei o tuʻufaʻatasia taamilosaga, PCB e faʻaaogaina mo le eletise fesoʻotaʻiga i le va o latou. E maua ai le lagolago masini mo faʻamaopoopoina potopoto o vaega eletise eseese e pei o tuʻufaʻatasia taʻamilosaga, iloa fesoʻotaʻiga ma eletise fesoʻotaʻiga poʻo eletise faʻaʻesega i le va o eletise eletise pei o tuʻufaʻatasia taamilosaga, ma maua ai manaʻomia eletise uiga, pei o faʻafitauli iloga, ma isi I le taimi e tasi e tuʻuina otometi poloka poloka poloka; Tuʻuina mai faʻailoga tagata ma ata mo vaega faapipiiina, asiasiga ma tausiga.

Faʻafefea ona faia PCBS? A matou tatalaina le limamatua drive o se lautele-mafuaʻaga komipiuta, e mafai ona tatou vaʻaia se ata vaivai (fetuutuunai faʻaʻesega substrate) lomia ma siliva-paʻepaʻe (siliva faapipii) conductive ata ma ono ono maua ata. Ona o le lautele lau laupepa auala e maua ai lenei kalafi, o lea matou te valaʻau ai lenei lolomi laupapa matagaluega fetuutuunai faapipii faapipii lolomi laupapa laupapa. Eseese mai tinā, pepa faʻafanua, network cards, modem, sound cards ma lolomi laupapa matagaluega i mea tau fale tatou te vaʻai ai ile Computer City. O le faʻavae mea na faʻaaogaina e faia i le pepa faavae (masani faʻaaoga mo tasi itu) poʻo tioata laʻau faavae (masani ona faʻaaoga mo lua-itu ma tele-vaega), muaʻi faʻatupuina phenolic po epoxy resin, tasi poʻo itu uma e lua o le laualuga glued ma tusi apamemea ma laminated faʻamaloloina. Lenei ituaiga o matagaluega laupapa ufiufi laupapa tusi apamemea, matou taʻua o laupapa maʻaʻa. Ona matou faia lea o se lolomi laupapa matagaluega, matou taʻua o se maʻaʻa lolomi laupapa matagaluega. O le lolomi laupapa matagaluega ma lolomiga matagaluega vaaiga i le tasi itu ua taʻua o le tasi itu itu lomia laupapa matagaluega, ma o se lolomi laupapa matagaluega ma lolomiina matagaluega ata i itu uma e fesoʻotaʻi i itu uma e ala i le metallization o pu, ma tatou taʻua o se lua -panel Afai o le faʻaaogaina o faʻalua laina, lua auala e tasi mo pito i fafo poʻo le lua faʻalua laina, lua poloka o le tasi vaega i fafo atu o le lolomi laupapa laupapa, e ala i le faʻatulagaina faiga ma isi mea faʻapipiʻi mea pipiʻi faʻapipiʻi ma fesoʻotaʻiga vaʻaia conductive e tusa ai ma manaʻoga manaʻoga o lolomiga matagaluega laupapa avea fa, ono vaega lolomi laupapa matagaluega, iloa foi o multilayer lolomi laupapa matagaluega. Ua sili atu nei i le 100 faaputuga o laupapa lolomi lolomi aoga.

O le gaosiga o gaioiga a le PCB e fai lava sina faigata, lea e aʻafia ai le tele o ituaiga gaioiga, mai le faʻaaogaina o masini faigofie i le faigata o masini gaosiina, e aofia ai le masani ai vailaʻau, photochemistry, electrochemistry, thermochemistry ma isi gaioiga, komipiuta fesoasoani fesoasoani (CAM) ma isi malamalama . Ma i le faʻagasologa o le gaosiga o gaioiga faʻafitauli ma o le a fetaiaʻi pea ma ni faʻafitauli fou ma ni isi faʻafitauli i le le iloaina le mafuaʻaga mou atu, aua o lona gaosiga gaioiga o se ituaiga o laina faʻaauau laina, soʻo se soʻoga sese na mafua ai gaosiga i luga o le laupapa poʻo le taunuuga o le tele numera o lapisi, lolomi laupapa matagaluega pe a fai e leai se toe faʻaaogaina o fasi, Inisinia faʻagaioiga mafai ona mamafa, o le tele o inisinia tuʻua le alamanuia e galulue i faʻatauga ma faʻapitoa auaunaga mo PCB masini poʻo mea kamupani.

Ina ia mafai ona malamalama atili i le PCB, e tatau ona malamalama i le gaosiga gaioiga masani masani tasi-itu, lua-itu lolomi laupapa matagaluega ma masani multilayer laupapa, e faʻalolotoina ai le malamalamaaga o ia.

Lisi itu itu malo lolomi laupapa: – tasi apamemea ofu – blanking i olo, mago), viliina po o le tuʻiina -> lau lolomi laina vaneina mamanu poʻo le faʻaaogaina o ata tifaga teteʻe atu i le faʻamamaina siaki faʻamaonia ipu, apamemea etching ma mago e teteʻe lolomi mea, e fufulu, mago, faʻasologa lolomilo teteʻe teteʻe ata (masani ona faʻaaogaina le suauʻu lanumeamata), UV faʻamalolo e faʻailogaina le kalafi kalafi lolomiina, UV faʻamaloloina, preheating, punching, ma le foliga – eletise matala ma puʻega matagaluega suʻega – olo, faʻamago → muai ufiufi ueloina aneti-oxidant (mago) pe apa-spraying vevela vevela faalaugatasia → asiasiga afifi → maeʻa oloa falegaosimea.

Lua itu itu malo lolomi laupapa: – lua-itu laupapa-apa laupapa – avanoaina – laminated – nc viliina pu pu – asiasiga, deburring scrub – vailaʻau plating (taiala pu puʻamea) – manifinifi apamemea plating (laupapa atoa) – asiasiga scrub -> ata lolomi le lelei matagaluega ata, faʻamalolo (matutu ata / susu ata, faʻaaliga ma atinaʻe) – asiasiga ma lipea le ipu – laina ata plating ma electroplating apa (pala pala tetee o nickel / auro) -> e lolomi mea (ufiufi) – vaneina apamemea – (faʻapipiʻi apa) e fufulu mama, masani ona faʻaaogaina ata faʻasolosolo tetee tetee uelo vevela faʻamalolo suauʻu lanumeamata (ata matala ata tifaga poʻo susu ata, faʻaaliga, atinaʻe ma vevela faʻamalolo, masani vevela faʻamaloloina photosensitive suauʻu lanumeamata) ma mago faamamaina, e vaʻai faʻailoga lolomi amio ata, faʻamaloloina, (apa poʻo uamea puipuia ufiufi ata tifaga) e fausia gaosi, faʻamamaina, faʻamago i eletise on-ese suʻega, afifiina ma maeʻa oloa.

E ala i pu metallization metotia o le gaosiaina o le multilayer gaioiga tafe i le pito i totonu vaega ‘apamemea ofu faʻalua-itu tipiina, olo e faʻavasega tulaga pu, pipiʻi i le mago-ufiufi poʻo le ufiufi e teteʻe atu ai i le faʻaalialia, atinaʻe ma etching ma ata tifaga – totonu totonu coarsening ma oxidation – siaki i totonu – (laina fafo gaosia o le tasi itu itu apamemea ofu laminates, B – fusi pepa, ipu faʻamaopoopo pepa asiasiga, viliina pu pu tulaga) e laminate, tele pulea viliina -> Luga ma siaki i luma o togafitiga ma vailaʻau apamemea plating – laupapa atoa ma manifinifi apamemea plating apamemea asiasiga – pipii i le teteʻe i matutu plating ata tifaga po o ufiufi i plating sooupu e peleue lalo faalauiloaina, atinae ma lipea le ipu – laina ata electroplating – poʻo limasene / auro plating ma electroplating apa taʻitaʻi uʻamea filogia i ata tifaga ma le etching – siaki – laupepa lolomi teteʻe uʻamea faʻamalama poʻo malamalama faʻatosina teteʻe tetee uʻamea – lolomiina faʻailoga ata – (vevela ea faʻalaugatasia poʻo meaolapuipuia ata tifaga ufiufi) ma numera pulea fufulu foliga → faʻamamaina, faʻamago → eletise fesoʻotaʻiga mauaina → maeʻa oloa asiasiga → teuina falegaosimea.

E mafai ona vaʻaia mai le siata faʻagasologa alualu i luma o le multilayer gaioiga e atiaʻe mai le lua-foliga metallization faʻagasologa. I le faʻaopopoga i le lua-itu gaioiga, o loʻo i ai ni tulaga tulaga ese mataupu: metallized pu totonu fesoʻotaʻiga, viliina ma epoxy decontamination, tulaga faʻatulagaina, lamination, ma faʻapitoa mea.

O la matou komipiuta komiti komiti o le masani lava epoxy tioata ie lua-itu lomia laupapa matagaluega lolomi, lea e tasi le itu ua faʻaofi vaega ma le isi itu o le vaega vae uʻamea luga, mafai ona vaʻaia o le soʻoga soʻoga e masani lava, o le vae vae vaevae faʻapitoa. luga o nei solder sooga tatou taʻua o le pad. Aisea e le i ai isi apa uaea apamemea i latou? Aua i le faʻaopopoina i le solder ipu ma isi vaega o le manaʻoga mo soldering, o le vaega o totoe o le pito i luga o loʻo i ai le vaega o galu tetee maopoopo ata tifaga. O lona lauʻeleʻele solder ata tifaga e tele lanumeamata, ma ni nai faʻaaogaina samasama, uliuli, lanumoana, ma isi, o lea o le solder suauu e masani ona taʻua o le lanumeamata lanumeamata i le PCB pisinisi. O lana gaioiga o le puipuia o galu fauina alalaupapa foliga vaaia, faʻaleleia le faʻalelei uʻamea ma sefe solder ma isi. O se tumau puipuia vaega o le lolomi laupapa, mafai ona taʻalo i le matafaioi o le susu, palapala, agamalu ma masini abrasion. Mai fafo, o le pito i luga e lamolemole ma susulu lanumeamata poloka poloka, lea e malamalama i le ata tifaga ipu ma le vevela faʻamalolo suauʻu lanumeamata. E le gata o le foliga mai e sili atu, e taua foʻi o le pad sao e maualuga, ina ia faʻaleleia atili ai le faʻatuatuaina o le soʻoga soʻoga.

E pei ona mafai ona tatou vaʻai mai le laupapa komipiuta, o vaega ua faʻapipiʻiina i auala e tolu. O se plug-in faapipiiina gaioiga mo le auina atu lea o se eletise vaega ua tuu i totonu o se ala-pu i luga o se lolomi laupapa matagaluega. E faigofie ona iloa o le lua-itu lomia laupapa matagaluega lolomi ala i pu e pei ona taʻua i lalo: tasi o se faigofie vaega faʻaaogaina pu; O le lona lua o le vaega faʻaofiina ma faʻalua-itu fesoʻotaʻiga ala i pu; Tolu o se faigofie faʻalua-itu i le pu; Fa o le pito i lalo ipu faapipiiina ma tulaga pu. O isi metotia faʻapipiʻi e lua o le faʻapipiʻi luga ma faʻamau faʻamau saʻo. O le mea moni, malamala faʻapipiʻi faʻapipiʻi tekonolosi mafai ona mafaufauina o se paranesi o luga faʻavasegaina tekonolosi, o le malamala tuʻu faʻapipiʻiina i le lolomi laupapa, ona fesoʻotaʻi lea i le lolomi laupapa e ala uaea metotia metotia poʻo fusi utaina metotia, seevae auala, utupoto taʻimua metotia ma isi afifiina tekonolosi. O le uelo luga o luga o le vaega luga.

Luga tiʻetiʻe tekinolosi maua le taua lelei:

(1) Ona o le tele e ala i pu po o le tanu pu fesoʻotaʻiga fesoʻotaʻiga ua tele lava ina faʻateʻaina e le lolomi laupapa, o le maualuga o le faʻapipiʻi luga o le lolomi laupapa ua faʻaleleia, o le eria o le lolomi laupapa ua faʻaititia (masani o le tasi vaetolu o le plug-in faʻapipiʻiina ), ma le numera o mamanu faʻavae ma tau o le lolomi laupapa mafai foi ona faʻaititia.

(2) Faʻaititia le mamafa, faʻaleleia seismic faatinoga, o le faʻaaogaina o colloidal solder ma fou fou tekonolosi, faʻaleleia le lelei o oloa ma faʻatuatuaina.

(3) Ona o le faʻateleina o le uaea faʻapipiʻi ma taʻitaʻi umi, o le parasite capacitance ma parasite inductance ua faʻaititia, lea e sili atu agavaʻa i le faʻaleleia o le eletise tapulaʻa o le lolomi laupapa.

(4) E faigofie atu ona iloa le otometi nai lo le plug-in faʻapipiʻiina, faʻaleleia le faʻapipiʻiina o le saoasaoa ma le galueaina o tagata faigaluega, ma faʻaititia le faʻaputuputuina o le tau e tusa ai.

E pei ona mafai ona vaaia mai luga luga saogalemu tekonolosi, o le faʻaleleia o le matagaluega laupapa tekonolosi ua faʻaleleia atili ma le faʻaleleia o malamala afifiina tekonolosi ma luga mounting tekonolosi. O le komipiuta laupapa ua tatou vaʻaia nei pepa lona lauʻeleʻele faʻapipiʻi fua e faʻaalu lemu. O le mea moni, o lenei ituaiga o matagaluega laupapa toe faʻaaogaina faʻasalalau vailiili laina lolomi ata e le mafai ona faʻamalieina le faʻapitoa manaʻoga. O le mea lea, o le masani maualuga maualuga matagaluega laupapa, o lona laina ata ma uʻamea ata e masani maaleale matagaluega ma maaleale suauʻu laʻau gaosiga gaosiga.

Faatasi ai ma le atinae tulaga o maualuga maualuga laupapa matagaluega, o le gaosiga manaʻoga o matagaluega laupapa ua avea maualuga ma maualuga. Faʻateleina ma sili atu fou tekonolosi o loʻo faʻaaogaina i le gaosiaina o matagaluega laupapa, pei o leisa tekinolosi, photosensitive resin ma isi. O loʻo taua i luga ua na o se papaʻu folasaga o le laualuga, e tele mea i le gaosiga o matagaluega laupapa ona o avanoa avanoa, e pei o le tauaso pu, faamaapeina laupapa, teflon laupapa, photolithography ma isi. Afai e te manaʻo e suʻesuʻe loloto, e tatau ona e galue malosi.