Pcb (yakadhindwa redunhu bhodhi) Nhanganyaya

Yakadhindwa Circuie Board (PCB) ipfupi kune Akadhindwa wedunhu Bhodhi. Kazhinji mune yekuisirwa zvinhu, zvinoenderana neyakafanorongedzwa dhizaini, yakagadzirwa nedhijitari yakadhindwa, zvakadhindwa zvikamu kana musanganiswa weavo vari vaviri conductive giraidhi anonzi akadhindwa wedunhu. Iyo inoitisa girafu yekubatana kwemagetsi pakati pezvinhu zvinopihwa pane insulating substrate inonzi yakadhindwa wedunhu. Nenzira iyi, rakadhindwa redunhu kana rakadhindwa mutsetse webhodhi rakapedzwa rinonzi rakadhindwa redunhu bhodhi, inozivikanwawo sebhuku rakadhindwa kana rakadhindwa redunhu bhodhi.

PCB yakakosha kune angangoita ese emagetsi zvigadzirwa zvatinoona, kubva kumagetsi mawatch, macalculator uye akajairika makomputa kumakomputa, kutaurirana zvemagetsi zvigadzirwa uye zvemauto zvombo masystem. Chero bedzi pasina zvigadzirwa zvemagetsi zvakaita semaseketi akasanganiswa, PCB inoshandiswa pakubatana kwemagetsi pakati pavo. Inopa michina rutsigiro rwekusungirirwa kwegungano rezvinhu zvakasiyana zvemagetsi senge maseketi akasanganiswa, anoziva wiring uye kubatana kwemagetsi kana kuputira magetsi pakati pezvinhu zvakasiyana zvemagetsi senge maseketi akasanganiswa, uye inopa hunhu hwemagetsi hunodiwa, senge impedance, nezvimwe. Panguva imwecheteyo kupa otomatiki solder ichivharira girafu; Ipa mavara ekuzivikanwa uye magiraidhi ekuisa chikamu, kuongorora uye kugadzirisa.

PCBS inogadzirwa sei? Kana isu tavhura thumbs dhiraivha yeyakajaira-chinangwa komputa, tinogona kuona yakapfava firimu (inoshanduka inodzivirira substrate) yakadhindwa nesirivha-chena (sirivheri pasita) inoitisa giraidhi uye inogona mifananidzo. Nekuda kwenzira yepasirese yekudhinda nzira yekuwana girafu iyi, saka tinodaidza iyi yakadhindwa redunhu bhodhi inoshanduka sirivheri yekunamatira yakadhindwa redunhu bhodhi. Zvakasiyana nemamaboardboard, makadhi emifananidzo, makadhi enetiweki, modemu, makadhi eruzha uye akadhindwa emabhodhi edunhu pamidziyo yemumba yatinoona muComputer City. Chinyorwa chepasi chinoshandiswa chakagadzirwa nepepa base (inowanzo shandiswa kune rimwe divi) kana girazi jira base (inowanzo shandiswa kune maviri-mativi uye akawanda-masenduru), pre-akaiswa mushonga wepeniki kana epoxy resin, rimwe kana mativi ese epasi akasungirirwa ne mhangura bhuku uyezve laminated kurapa. Rudzi urwu redunhu bhodhi rinofukidza mhangura yebhuku bhodhi, isu tinoriidza rakaomarara bhodhi. Ipapo isu tinogadzira rakadhindwa redunhu bhodhi, isu tinoridaidza kuti rakaomarara rakadhindwa redunhu bhodhi. Iyo yakadhindwa redunhu bhodhi ine yakadhindwa wedunhu magiraidhi kune rimwe divi inonzi imwechete-mativi akadhindwa wedunhu bhodhi, uye yakadhindwa wedunhu bhodhi ine yakadhindwa wedunhu magiraidhi kumativi ese ari maviri yakabatana pamativi ese ari maviri kuburikidza ne metallization yemaburi, uye isu tinoidaidza iyo mbiri -pane. Kana uchishandisa lining yakapetwa kaviri, imwe-nzira-yekunze yekunze kana mbiri mbiri, mabhuroko maviri eimwe yekunze dura reiyo yakadhindwa wedunhu bhodhi, kuburikidza nemamiriro ekumisikidza uye neimwe nzira yekunamatira zvinhu zvekunamatira uye inoitisa giraidhi yekubatana zvinoenderana dhizaini dhizaini bhodhi inova ina, nhanhatu dura rakadhindwa redunhu bhodhi, inozivikanwawo se multilayer yakadhindwa redunhu bhodhi. Ikozvino kune anodarika zana maseturu emamwe anoshanda akadhindwa wedunhu mabhodhi.

Maitiro ekugadzira ePBB akaomesesa, ayo anoverengeka maitiro, kubva pamashini akaomeswa kusvika pakuomarara kwekugadzirisa, kusanganisira zvakajairika zvemakemikari, photochemistry, electrochemistry, thermochemistry uye mamwe maitiro, komputa-yakabatsirwa dhizaini (CAM) uye rumwe ruzivo . Uye mukuita kwezvinetso zvekugadzira zvigadzirwa uye zvinogara zvichisangana nematambudziko matsva uye mamwe matambudziko mu haana kuwana chikonzero chikonzero chinonyangarika, nekuti maitiro acho ekugadzira ndeemhando yeiyo inoenderera mutsara fomu, chero chinongedzo chisina kunaka chingakonzera kugadzirwa kubhodhi kana iyo mhedzisiro yenhamba yakakura yezvimedu, yakadhindwa wedunhu bhodhi kana pasina marara marara, Ma processor mainjiniya anogona kunetsa, saka mainjiniya mazhinji anosiya indasitiri kunoshanda mukutengesa nehunyanzvi masevhisi ePCB michina kana zvigadzirwa zvemakambani.

Kuti uwedzere kunzwisisa iyo pcb, zvinodikanwa kuti unzwisise kugadzirwa kwemaitiro kazhinji asina-mativi, maviri-mativi akadhindwa wedunhu bhodhi uye zvakajairika multilayer bhodhi, kudzamisa kunzwisisa kwayo.

Imwe-mativi akaomarara akadhindwa bhodhi: – imwechete yemhangura yakapfeka – kuvhara kuvhara, kuoma), kuchera kana kubaya -> skrini yekudhinda mitsara yakatemwa pateni kana kushandisa yakaoma firimu kuramba kurapa cheki gadziriso ndiro, mhangura etching uye yakaoma kuramba kudhinda zvinhu, ku kukwesha, kuoma, skrini yekudhinda nemishonga yekuwisira mifananidzo (inowanzo shandiswa mafuta girini), UV kurapa kune hunhu kutara magirafu skrini kudhinda, UV kurapa, kupisa, kupisa, uye chimiro – yemagetsi yakavhurika uye ipfupi redunhu bvunzo – kukwesha, kuomesa → pre-kupfeka Welding anti-oxidant (yakaoma) kana gaba-kurimisa inopisa mweya yekumisikidza → yekutarisa kurongedza → yakapedza zvigadzirwa fekitori.

Yakapetwa kaviri-yakasindimara yakadhindwa bhodhi: – maviri-mativi emhangura-akapfeka mabhodhi – kuvhara – laminated – nc kudhiraina gomba gomba – kuongorora, deburring kukwesha – kemikari yakanamirwa pamoto (gwara gomba metallization) – yakatetepa mhangura yakanamirwa (yakazara bhodhi) – kuongorora kukwesha -> skrini yekudhinda isina kunaka wedunhu magirafu, kurapa (yakaoma firimu / yakanyorovera firimu, kuburitsa nekusimudzira) – kuongorora uye kugadzirisa iyo ndiro – mutsara giraidhi mapurati uye electroplating tini (ngura kuramba kwe nickel / goridhe) -> kudhinda zvinhu (kupfeka) – etching mhangura – (annealing tin) kukwesha yakachena, inowanzo shandiswa giraidhi yekudhinda kuramba kurapa kupisa kupisa girini mafuta (photosensitive yakaoma firimu kana kunyorova firimu, kuratidzwa, kuvandudza uye kupisa kupisa, kazhinji kupisa kupisa mafutaensensitive girini mafuta) uye kuoma kuchenesa hunhu magiraidhi, kurapa, (tini kana organic inodzivirirwa yekutenderera firimu) kugadzira kugadzira, kuchenesa, kuomesa kumagetsi ku-off kuyedza, kurongedza uye zvigadzirwa zvakapedzwa.

Kubudikidza negomba metallization nzira yekugadzira multilayer maitiro kuyerera kune yemukati rukoko mhangura yakapetwa-mativi kucheka, kukwesha kubaya kumisikidza gomba, kunamatira kune yakaoma kupfeka kana kupfeka kuramba kuratidzira, kuvandudza uye etching uye firimu – yemukati coarsening uye oxidation – cheki yemukati – (yekunze mutsara kugadzirwa kweimwe-mativi emhangura akapfeka laminates, B – yekubatanidza jira, ndiro yekubatanidza jira kuongorora, chibooreso chekuisa gomba) kuita laminate, akati wandei kudzora kuchera -> Hole uye tarisa pamberi pekurapwa nemakemikari mhangura yakakwenya – yakazara bhodhi uye yakatetepa mhangura yakanamirwa pamadziro yekuongorora – kunamatira kurwisa kune yakaoma firimu yakanamirwa pamifananidzo kana kupfeka kune yakanamirwa pamumiririri kupfeka pasi kuratidzwa, kuvandudza uye kugadzirisa ndiro – mutsara girazi electroplating – kana nickel / goridhe ra uye electroplating tini lead alloy kune firimu uye iyo etching – cheki – skrini yekudhinda kuramba kumisikidza magiraidhi kana mwenje unokonzereswa nemishonga yekuwisira mifananidzo – yakadhindwa hunhu magiraidhi – (inopisa mweya yekumisikidza kana organicinodzivirirwa Welding firimu) uye nhamba kudzora Washing chimiro → kuchenesa, kuomesa → yemagetsi kubatana kubatika → apedza chigadzirwa kuongorora → kurongedza fekitori.

Inogona kuonwa kubva kune inoyerera kuyerera chati iyo multilayer maitiro inogadzirwa kubva kune maviri-kumeso metallization maitiro. Kuwedzera kune maviri-mativi maitiro, ine akati wandei zvirimo: metallized gomba mukati kubatanidza, kuchera uye epoxy kudonhedza, yekumisikidza system, lamination, uye yakakosha zvinhu.

Yedu yakajairika komputa bhodhi kadhi iri chaizvo epoxy girazi jira-maviri-mativi akadhindwa wedunhu bhodhi, iro rine rimwe divi rakaisirwa zvinhu uye rimwe divi chinhu chechikamu chetsoka kutenderera pamusoro, unogona kuona kuti majoini esoder anowanzoitika, chikamu chetsoka discrete welding pamusoro peaya majoini esolder tinoiti iyo pad. Sei dzimwe waya dzemhangura dzisina tini pavari? Nekuti mukuwedzera kune iyo solder ndiro uye zvimwe zvikamu zvekudiwa kwekunamatira, iyo yese yepasirese ine rukoko rweiyo wave kuramba nemoto firimu. Yayo yepamusoro solder firimu iri rakanyanya kuve girini, uye vashoma vanoshandisa yero, nhema, bhuruu, nezvimwewo, saka iyo solder oiri inowanzozonzi mafuta girini muIYO pcb indasitiri. Basa rayo nderekudzivirira wave wave welding bridge phenomenon, kunatsiridza mhando yemhando uye chengetedza solder uye zvichingodaro. Ichowo chigaro chekudzivirira chenguva dzose chebhodhi rakadhindwa, inogona kutamba chinzvimbo chehunyoro, ngura, chakuvhe uye kugadzirwa kwemishini. Kubva kunze, iko pamusoro kwakatsetseka uye kwakajeka girini ichivharira firimu, inova photosensitive kune firimu ndiro uye kupisa kurapa girini mafuta. Kwete chete kutaridzika kuri nani, zvakakosha kuti iyo pad chaiyo iri pamusoro, kuitira kuti ivandudze kuvimbika kweiyo solder mubatanidzwa.

Sezvo isu tichiona kubva kubhodhi bhodhi, zvikamu zvinoiswa munzira nhatu. Iyo plug-in yekumisikidza nzira yekutapurirana iyo yemagetsi chinhu chinoiswa mukati-kuburikidza-gomba pane rakadhindwa redunhu bhodhi. Zviri nyore kuona kuti iwo mativi-akapetwa akadhinda wedunhu bhodhi kuburikidza nemakomba ndeaya anotevera: imwe iri nyore chinhu chikamu isa gomba; Yechipiri ndiko kuiswa kwechinhu uye kubatana kwepadivi kaviri kuburikidza neburi; Nhatu yakapusa yakapetwa kaviri-kuburikidza nepaburi; Chechina ndiko kuiswa kweplate yepasi uye nzvimbo yekumisikidza. Idzi dzimwe nzira mbiri dzekumisikidza ndeyekumusoro kwepamusoro uye kukwidza chip zvakananga. Muchokwadi, chip yakananga yekumisikidza tekinoroji inogona kutariswa sebazi rekumusoro kusimudzira tekinoroji, ndiyo chip yakanamatira zvakananga kune rakadhindwa bhodhi, uyezve rakabatanidzwa kune rakadhindwa bhodhi netambo yekucheka nzira kana bhandi kurodha nzira, flip nzira, danda lead nzira uye imwe kavha tekinoroji. Iyo yekumonera pamusoro iri pane chikamu chemukati.

Pamusoro yekumisikidza tekinoroji ine zvinotevera zvakanakira:

(1) Nekuda kweiyo hombe kuburikidza negomba kana yakavigwa gomba yekubatanidza tekinoroji inonyanya kubviswa nebhodhi rakadhindwa, iyo wiring density pane yakadhindwa bhodhi inovandudzwa, nzvimbo yebhodhi rakadhindwa yakaderedzwa (kazhinji chikamu chimwe muzvitatu chekuisa plug-in ), Uye huwandu hwesimba rekugadzira uye mutengo webhodhi rakadhindwa zvakare rinogona kuderedzwa.

(2) Yakaderedzwa uremu, yakagadziriswa seismic performance, iko kushandiswa kweiyo colloidal solder uye nyowani yekutengesa tekinoroji, kugadzirisa chigadzirwa chigadzirwa uye kuvimbika.

(3) Nekuda kwekuwedzera kweiyo wiring kuwanda uye kutungamira kureba, iyo chisvetasimba capacitance uye chisvetasimba inductance yakaderedzwa, izvo zvinonyanya kubatsira kuvandudza magetsi emagetsi ebhodhi rakadhindwa.

(4) Zviri nyore kuona otomatiki pane plug-in yekumisikidza, kunatsiridza kumisikidza kumhanyisa uye nekugadzirwa kwevashandi, uye kudzikisa mutengo wegungano zvinoenderana.

Sezvinoonekwa kubva pamusoro pamusoro pekuchengetedza tekinoroji, kuvandudzwa kwetekinoroji bhodhi tekinoroji kunovandudzwa pamwe nekuvandudzwa kwechip chip yekumisikidza tekinoroji uye yepamusoro yekumisikidza tekinoroji. Iyo komputa bhodhi iyo yatinoona izvozvi kadhi yayo yepamusoro pemuti inoisa mwero kuti ikwire isingaregi. Muchokwadi, irworwu rudzi rwedunhu bhodhi reuse kutapurirana skrini yekudhinda mutsara giraidhi haigone kusangana nehunyanzvi zvinodiwa. Naizvozvo, akajairwa epamusoro chaiwo wedunhu bhodhi, mutsetse wayo magiraidhi uye nemoto magiraidhi ari akanyatso kunetseke wedunhu uye akaomarara girini oiri kugadzirwa maitiro.

Neyekuvandudza maitiro eiyo yakakwira density wedunhu bhodhi, izvo zvigadzirwa zvekugadzira zvedunhu bhodhi zviri kuramba zvichikwira uye zvichikwira. Zvakawanda uye hunyowani hutsva hunoshandiswa mukugadzirwa kwedunhu bhodhi, senge laser tekinoroji, photosensitive nebwe uye zvichingodaro. Izvo zviri pamusoro zvinongova zvinyowani zvekusvitsa pamusoro, pane zvinhu zvakawanda mukugadzirwa kwedunhu bhodhi nekuda kwenzvimbo zvipingamupinyi, senge bofu bofu, ichitenderera bhodhi, teflon bhodhi, photolithography zvichingodaro. Kana iwe uchida kudzidza zvakadzama, iwe unofanirwa kushanda nesimba.