Yuav ua li cas txiav txim siab koj cov khoom siv PCB substrate?

Raws li peb txhua tus paub, cov yam ntxwv tseem ceeb ntawm PCB (printed Circuit Board) nyob ntawm qhov ua tau zoo ntawm nws cov khoom siv substrate. Yog li ntawd, txhawm rau txhim kho kev ua haujlwm ntawm lub rooj tsav xwm hauv Circuit Court, kev ua haujlwm ntawm cov khoom siv substrate yuav tsum ua ntej ua kom zoo dua. Txog tam sim no, ntau yam ntaub ntawv tshiab tau tsim thiab siv los ua kom tau raws li cov kev xav tau ntawm cov thev naus laus zis tshiab thiab cov qauv kev lag luam.

Nyob rau hauv xyoo tas los no, cov ntawv luam tawm Circuit Board tau hloov pauv. Kev ua lag luam tau hloov pauv los ntawm cov khoom siv kho vajtse xws li desktop computers mus rau kev sib txuas lus wireless xws li servers thiab mobile terminals. Cov khoom siv sib txuas lus txawb uas sawv cev los ntawm cov xov tooj ntse tau txhawb txoj kev loj hlob ntawm high-density, light-weight and multi-functional PCBs. Yog tias tsis muaj cov khoom siv substrate, thiab nws cov txheej txheem yuav tsum muaj feem cuam tshuam nrog kev ua tau zoo ntawm PCB, cov tshuab luam ntawv hluav taws xob yuav tsis raug pom. Yog li ntawd, kev xaiv ntawm cov khoom siv substrate plays lub luag haujlwm tseem ceeb hauv kev muab qhov zoo thiab kev ntseeg siab ntawm PCB thiab cov khoom kawg.

ipcb ib

Ua tau raws li cov kev xav tau ntawm kev ceev ceev thiab cov kab zoo

• Yuav tsum muaj rau cov ntawv ci tooj liab

Tag nrho cov PCB boards tau tsiv mus rau qhov ceev dua thiab zoo dua circuits, tshwj xeeb tshaj yog HDI PCB (High Density Interconnect PCB). Kaum xyoo dhau los, HDI PCB tau txhais tias yog PCB, thiab nws txoj kab dav (L) thiab kab sib nrug (S) yog 0.1 hli lossis tsawg dua. Txawm li cas los xij, tus qauv tam sim no ntawm L thiab S hauv kev lag luam hluav taws xob tuaj yeem ua rau me me li 60 μm, thiab nyob rau hauv cov ntaub ntawv siab heev, lawv cov nqi yuav qis li 40 μm.

Yuav ua li cas txiav txim siab koj cov khoom siv PCB substrate

Cov txheej txheem ib txwm ua ntawm daim duab kos duab tsim yog nyob rau hauv cov txheej txheem imaging thiab etching. Nrog rau daim ntawv thov ntawm nyias tooj liab ntawv ci substrates (nrog ib lub thickness ntawm 9μm mus rau 12μm), tus nqi qis tshaj ntawm L thiab S nce mus txog 30μm.

Vim yog tus nqi siab ntawm cov ntawv ci tooj liab CCL (Copper Clad Laminate) thiab ntau yam tsis xws luag hauv pawg, ntau lub tuam txhab PCB nyiam siv txoj kev etching-tooj ​​liab ntawv ci, thiab tooj liab ntawv ci thickness yog teem rau 18μm. Qhov tseeb, txoj kev no tsis pom zoo vim nws muaj ntau cov txheej txheem, lub thickness yog nyuaj rau kev tswj thiab ua rau cov nqi siab dua. Yog li ntawd, nyias tooj liab ntawv ci zoo dua. Tsis tas li ntawd, thaum lub rooj tsavxwm L thiab S qhov tseem ceeb tsawg dua 20μm, cov qauv tooj liab ntawv ci tsis ua haujlwm. Thaum kawg, nws raug pom zoo kom siv ultra-thin tooj liab ntawv ci, vim tias nws cov tooj liab tuab tuaj yeem hloov kho hauv thaj tsam ntawm 3μm txog 5μm.

Ntxiv nrog rau qhov tuab ntawm cov ntawv ci tooj liab, tam sim no precision circuits tseem xav tau cov ntawv ci tooj liab uas tsis tshua muaj roughness. Txhawm rau txhim kho lub peev xwm sib txuas ntawm cov ntawv ci tooj liab thiab cov khoom siv hauv qab thiab ua kom lub zog tev ntawm tus neeg xyuas pib, kev ua haujlwm ntxhib yog ua rau ntawm lub dav hlau tooj liab, thiab qhov dav dav ntawm cov ntawv ci tooj liab yog ntau dua 5μm.

Embedding hump tooj liab ntawv ci raws li cov khoom siv hauv paus txhawm rau txhim kho nws lub zog tev. Txawm li cas los xij, txhawm rau tswj cov hlau lead precision kom deb ntawm over-etching thaum lub sij hawm Circuit Court etching, nws yuav ua rau hump pollutants, uas yuav ua rau ib tug luv luv Circuit Court ntawm kab los yog ib tug txo nyob rau hauv rwb thaiv tsev muaj peev xwm, uas tshwj xeeb tshaj yog muaj feem xyuam rau cov zoo circuits. Yog li ntawd, cov ntawv ci tooj liab uas tsis tshua muaj roughness (tsawg dua 3 μm lossis txawm 1.5 μm) yuav tsum tau.

Txawm hais tias qhov roughness ntawm cov ntawv ci tooj liab raug txo, nws tseem yuav tsum tau khaws cov tev lub zog ntawm tus neeg xyuas pib, uas ua rau muaj kev kho tshwj xeeb ntawm cov ntawv ci tooj liab thiab cov khoom siv substrate, uas yuav pab ua kom lub tev lub zog ntawm cov ntawv ci. tus thawj coj.

• Yuav tsum tau insulating dielectric laminates

Ib qho ntawm cov yam ntxwv tseem ceeb ntawm HDI PCB yog nyob rau hauv txoj kev tsim kho. Feem ntau siv RCC (resin coated tooj liab) los yog prepreg epoxy iav ntaub thiab tooj liab ntawv lamination tsis tshua muaj ua rau zoo circuits. Nws yog tam sim no inclined siv SAP thiab MSPA, uas txhais tau tias daim ntawv thov ntawm insulating dielectric zaj duab xis laminated electroless tooj liab plating los tsim tooj liab conductive dav hlau. Vim hais tias lub dav hlau tooj liab yog nyias, zoo circuits tuaj yeem tsim tau.

Ib qho ntawm cov ntsiab lus tseem ceeb ntawm SAP yog laminate dielectric cov ntaub ntawv. Yuav kom ua tau raws li qhov yuav tsum tau muaj ntawm high-density precision circuits, qee qhov yuav tsum tau muab tso rau pem hauv ntej rau cov ntaub ntawv laminate, nrog rau cov khoom siv hluav taws xob, rwb thaiv tsev, hluav taws xob tiv thaiv thiab kev sib txuas, nrog rau kev hloov kho tau zoo nrog HDI PCB.

Nyob rau hauv lub ntiaj teb no semiconductor ntim, IC ntim substrates yog hloov los ntawm ceramic substrates rau organic substrates. Lub suab ntawm FC pob substrates tau dhau los ua me thiab me, yog li tam sim no tus nqi ntawm L thiab S yog 15 μm, thiab nws yuav me dua.

Kev ua tau zoo ntawm ntau txheej txheej substrates yuav tsum tau hais txog cov khoom tsis muaj dielectric, tsis tshua muaj coefficient thermal expansion (CTE) thiab kub kub tsis kam, uas yog hais txog cov nqi qis uas ua tau raws li cov hom phiaj kev ua haujlwm. Niaj hnub no, MSPA rwb thaiv tsev dielectric stacking tshuab yog ua ke nrog nyias tooj liab ntawv ci los siv rau hauv cov khoom loj ntawm precision circuits. SAP yog siv los tsim cov qauv hauv Circuit Court nrog ob qho L thiab S tus nqi tsawg dua 10 μm.

Qhov siab ceev thiab nyias ntawm PCBs tau ua rau HDI PCBs hloov los ntawm lamination nrog cores mus rau cores ntawm txhua txheej. Rau HDI PCBs nrog tib lub luag haujlwm, thaj tsam thiab tuab ntawm PCBs sib cuam tshuam ntawm ib txheej yog txo los ntawm 25% piv rau cov tub ntxhais laminates. Nws yog ib qho tsim nyog los siv cov txheej nyias nyias nrog cov khoom hluav taws xob zoo dua hauv ob HDI PCBs.

Yuav tsum tau xa tawm los ntawm kev siv ntau zaus thiab kev kub ceev

Kev sib txuas lus hauv tshuab hluav taws xob muaj xws li los ntawm wired mus rau wireless, los ntawm tsawg zaus thiab qis ceev mus rau high zaus thiab siab ceev. Kev ua tau zoo ntawm cov xov tooj smartphones tau hloov zuj zus los ntawm 4G mus rau 5G, xav tau kev sib kis ceev thiab ntau dua qhov sib kis.

Qhov tshwm sim ntawm lub ntiaj teb huab huab xam lub sijhawm tau ua rau muaj ntau yam kev nce hauv cov ntaub ntawv tsheb, thiab muaj qhov sib txawv ntawm cov khoom siv sib txuas lus siab thiab ceev ceev. Txhawm rau ua kom tau raws li qhov yuav tsum tau muaj ntawm kev xa xov hluav taws xob thiab kev kub ceev, ntxiv rau kev txo cov teeb liab cuam tshuam thiab kev siv, teeb liab kev ncaj ncees thiab kev tsim khoom tau sib xws nrog cov qauv tsim ntawm PCB tsim, cov ntaub ntawv ua tau zoo yog qhov tseem ceeb tshaj plaws.

Lub luag haujlwm tseem ceeb ntawm tus kws tshaj lij yog txhawm rau txo cov khoom ntawm hluav taws xob teeb liab poob kom nce PCB ceev thiab daws teeb meem kev ncaj ncees. Raws li PCBCart ntau tshaj kaum xyoo ntawm kev tsim cov kev pabcuam, raws li qhov tseem ceeb cuam tshuam rau kev xaiv cov khoom siv substrate, thaum lub dielectric tsis tu ncua (Dk) qis dua 4 thiab dielectric poob (Df) qis dua 0.010, nws raug suav tias yog ib qho Intermediate Dk / Df laminate Thaum Dk qis dua 3.7 thiab Df qis dua 0.005, nws suav tias yog Dk / Df laminate qis. Tam sim no, ntau yam khoom siv substrate muaj nyob hauv khw.

Txog tam sim no, feem ntau muaj peb hom kev siv ntau zaus hauv Circuit Court board substrate cov ntaub ntawv: fluorine-based resins, PPO lossis PPE resins thiab hloov kho epoxy resins. Fluorine series dielectric substrates, xws li PTFE, muaj qhov qis tshaj dielectric zog thiab feem ntau yog siv rau cov khoom uas muaj zaus ntawm 5 GHz lossis siab dua. Hloov kho epoxy resin FR-4 los yog PPO substrate yog tsim rau cov khoom uas muaj ntau zaus ntawm 1GHz txog 10GHz.

Muab piv rau peb cov khoom siv high-frequency substrate, epoxy resin muaj tus nqi qis tshaj, txawm hais tias fluorine resin muaj tus nqi siab tshaj. Nyob rau hauv cov nqe lus ntawm dielectric tas li, dielectric poob, dej nqus, thiab zaus cov yam ntxwv, fluorine-raws li resins ua tau zoo tshaj plaws, thaum epoxy resins ua phem. Thaum qhov zaus siv los ntawm cov khoom yog siab dua 10GHz, tsuas yog cov fluorine-raws li resin yuav ua haujlwm. Qhov tsis zoo ntawm PTFE suav nrog tus nqi siab, tsis zoo rigidity, thiab siab thermal expansion coefficient.

Rau PTFE, bulk inorganic tshuaj (xws li silica) yuav siv tau raws li cov ntaub ntawv muab tub lim los yog iav ntaub los txhim khu lub rigidity ntawm substrate khoom thiab txo cov coefficient ntawm thermal expansion. Tsis tas li ntawd, vim lub inertness ntawm PTFE molecules, nws yog ib qho nyuaj rau cov PTFE molecules rau daim ntawv cog lus nrog cov ntawv ci tooj liab, yog li ib tug tshwj xeeb nto kev kho mob sib xws nrog tooj liab ntawv ci yuav tsum tau paub. Txoj kev kho mob yog ua cov tshuaj etching rau ntawm qhov chaw ntawm polytetrafluoroethylene kom cov nplaim roughness los yog ntxiv cov nplaum nplaum kom muaj peev xwm adhesion. Nrog rau kev siv cov qauv no, cov khoom dielectric tej zaum yuav raug cuam tshuam, thiab tag nrho cov fluorine-raws li high-frequency Circuit Court yuav tsum tau tsim ntxiv.

Tshwj xeeb insulating resin tsim los ntawm hloov kho epoxy resin los yog PPE thiab TMA, MDI thiab BMI, ntxiv rau iav ntaub. Zoo ib yam li FR-4 CCL, nws kuj muaj cov khoom siv hluav taws xob zoo heev thiab cov khoom siv hluav taws xob, cov khoom siv hluav taws xob, thiab PCB manufacturability, tag nrho cov uas ua rau nws nrov tshaj PTFE-raws li substrates.

Ntxiv nrog rau qhov kev ua tau zoo ntawm cov ntaub ntawv insulating xws li resins, qhov roughness ntawm tooj liab raws li tus neeg xyuas pib kuj yog ib qho tseem ceeb cuam tshuam rau cov teeb liab kis tau tus mob, uas yog qhov tshwm sim ntawm cov nyhuv ntawm daim tawv nqaij. Yeej, cov nyhuv ntawm daim tawv nqaij yog qhov electromagnetic induction generated nyob rau hauv high-frequency teeb liab kis tau tus mob thiab cov inductive lead ua yog li ntawd concentrated nyob rau hauv nruab nrab ntawm lub cross-sectional cheeb tsam ntawm cov hlau lead, thiab cov tsav tsheb tam sim no los yog lub teeb liab yog tsom rau lub nto ntawm cov hlau lead. Qhov saum npoo roughness ntawm tus neeg xyuas pib ua lub luag haujlwm tseem ceeb hauv kev cuam tshuam qhov poob ntawm cov teeb liab kis, thiab qhov roughness tsawg ua rau poob qis heev.

Nyob rau tib zaus, qhov siab roughness ntawm tooj liab yuav ua rau lub teeb liab poob siab. Yog li ntawd, lub roughness ntawm nto tooj liab yuav tsum tau tswj nyob rau hauv tiag tiag manufacturing, thiab nws yuav tsum tau tsawg li sai tau yam tsis muaj kev cuam tshuam adhesion. Kev saib xyuas zoo yuav tsum tau them rau cov teeb liab hauv qhov ntau zaus ntawm 10 GHz lossis siab dua. Lub roughness ntawm tooj liab ntawv yuav tsum tsawg tshaj li 1μm, thiab nws yog qhov zoo tshaj plaws los siv ultra-nto tooj liab ntawv ci nrog ib tug roughness ntawm 0.04μm. Qhov saum npoo roughness ntawm cov ntawv ci tooj liab yuav tsum tau ua ke nrog kev kho kom haum oxidation thiab kev sib koom ua ke. Nyob rau hauv lub neej yav tom ntej, tej zaum yuav muaj cov ntawv ci tooj liab uas tsis muaj qhov profile-coated resin, uas muaj lub zog tev ntau dua los tiv thaiv cov dielectric poob los ntawm kev cuam tshuam.

Yuav tsum muaj siab thermal kuj thiab siab dissipation

Nrog rau txoj kev loj hlob ntawm miniaturization thiab kev ua haujlwm siab, cov khoom siv hluav taws xob muaj peev xwm ua kom muaj cua sov ntau dua, yog li cov kev tswj xyuas thermal ntawm cov khoom siv hluav taws xob tau dhau los ua ntau thiab xav tau. Ib qho kev daws teeb meem rau qhov teeb meem no yog nyob rau hauv kev tshawb fawb thiab kev loj hlob ntawm thermally conductive PCBs. Qhov yooj yim mob rau PCB ua tau zoo nyob rau hauv cov nqe lus ntawm tshav kub tsis kam thiab dissipation yog lub tshav kub tsis kam thiab dissipation muaj peev xwm ntawm substrate. Kev txhim kho tam sim no hauv thermal conductivity ntawm PCB yog nyob rau hauv kev txhim kho cov resin thiab sau ntxiv, tab sis nws tsuas yog ua haujlwm nyob rau hauv ib pawg tsawg. Txoj kev raug yog siv IMS lossis hlau core PCB, uas ua raws li cov khoom cua sov. Piv nrog rau cov tsoos radiators thiab kiv cua, txoj kev no muaj qhov zoo ntawm qhov me me thiab tus nqi qis.

Aluminium yog cov khoom siv zoo nkauj heev nrog qhov zoo ntawm cov peev txheej ntau, tus nqi qis thiab zoo thermal conductivity. Thiab kev siv zog. Tsis tas li ntawd, nws yog ib puag ncig zoo heev uas nws yog siv los ntawm feem ntau cov hlau substrates lossis hlau cores. Vim qhov zoo ntawm kev lag luam, txhim khu kev qha hluav taws xob sib txuas, thermal conductivity thiab siab zog, solder-free thiab lead-free, aluminium-based circuit boards tau siv rau hauv cov neeg siv khoom, tsheb, khoom siv tub rog thiab cov khoom siv dav hlau. Tsis muaj qhov tsis ntseeg tias tus yuam sij rau kev ua haujlwm kub thiab kev ua haujlwm ntawm cov hlau substrate yog nyob rau hauv adhesion ntawm lub phaj hlau thiab lub dav hlau Circuit Court.

Yuav ua li cas txiav txim siab cov khoom siv substrate ntawm koj lub PCB?

Nyob rau hauv lub hnub nyoog hluav taws xob niaj hnub no, qhov miniaturization thiab thinness ntawm cov khoom siv hluav taws xob tau coj mus rau qhov tshwm sim ntawm cov PCBs nruj thiab yoog raws / nruj PCBs. Yog li dab tsi ntawm cov khoom siv substrate haum rau lawv?

Ntxiv rau thaj chaw thov ntawm PCBs nruj thiab yoog raws / nruj PCBs tau coj cov kev xav tau tshiab ntawm qhov ntau thiab ua haujlwm. Piv txwv li, cov yeeb yaj kiab polyimide tuaj yeem muab faib ua ntau pawg, suav nrog pob tshab, dawb, dub thiab daj, nrog kev kub siab ua haujlwm thiab qis coefficient ntawm thermal expansion rau daim ntawv thov nyob rau hauv ntau lub sijhawm. Ib yam li ntawd, tus nqi-zoo polyester zaj duab xis substrate yuav raug lees txais los ntawm kev ua lag luam vim nws cov elasticity siab, qhov ntev stability, zaj duab xis nto zoo, photoelectric coupling thiab ib puag ncig tsis kam, kom tau raws li cov kev hloov pauv ntawm cov neeg siv khoom.

Zoo ib yam li HDI PCB nruj, yoog raws PCB yuav tsum ua kom tau raws li qhov yuav tsum tau muaj ntawm kev kub ceev thiab cov teeb liab siab zaus, thiab kev saib xyuas yuav tsum tau them rau qhov dielectric tas li thiab dielectric poob ntawm cov khoom siv hloov pauv tau yooj yim. Lub voj voog hloov tau tuaj yeem tsim los ntawm polytetrafluoroethylene thiab qib siab polyimide substrate. Inorganic plua plav thiab carbon fiber tuaj yeem ntxiv rau polyimide resin kom ua rau peb txheej hloov tau thermally conductive substrate. Cov khoom siv inorganic muab tub lim yuav yog aluminium nitride, aluminium oxide lossis hexagonal boron nitride. Cov khoom siv substrate no muaj cov thermal conductivity ntawm 1.51W / mK, tuaj yeem tiv taus qhov hluav taws xob ntawm 2.5kV thiab curvature ntawm 180 degrees.