Ahoana no fomba hamolavolana DRC mpanamarina fitsipika PCB?

Ity taratasy ity dia milazalaza fohifohy ny fomba fandaharana iray PCB rafitry ny fizahana fitsipika (DRC). Raha vantany vao azo ny famolavolana PCB amin’ny alàlan’ny fitaovana famoronana diagram circuit, dia azo atao ny mihazakazaka DRC hahitana izay tsy fahombiazana mandika ny fitsipiky ny famolavolana PCB. Tsy maintsy atao izany alohan’ny hanombohana ny fanodinana manaraka, ary ny mpamorona mpamokatra herinaratra dia tsy maintsy manome fitaovana DRC ahafahan’ny ankamaroan’ny mpamorona PCB mahafehy mora foana.

ipcb

Betsaka ny tombony azo amin’ny fanoratanao ny fanamarinana fitsipika momba ny famolavolana PCB anao. Na dia tsy tsotra aza ny mpanamarina ny famolavolana PCB dia tsy azo tantanana izany satria izay mpamorona PCB mahazatra amin’ny fandaharana na fiteny amin’ny script dia afaka manao izany, ary ny tombony azo tsy azo isaina.

Na izany aza, matetika ny fitaovana amidy amin’ny ankapobeny dia tsy malefaka mifanaraka amin’ny filan’ny famolavolana PCB manokana. Vokatr’izany, ny fepetra takiana amin’ny endri-javatra vaovao dia tsy maintsy taterin’ny mpanjifa amin’ireo mpamorona fitaovana DRC, izay matetika dia mitaky vola sy fotoana, indrindra raha havaozina tsy tapaka ny takiana. Soa ihany, ny ankamaroan’ny mpanamboatra fitaovana dia afaka manome ny mpanjifany fomba mora hanoratana ny DRC azy ireo hamaly ny filany manokana. Na izany aza, ity fitaovana mahery vaika ity dia tsy ekena na ampiasaina be mpampiasa. Ity lahatsoratra ity dia manome torolàlana azo ampiharina amin’ny fahazoana tombony betsaka amin’ny fitaovana DRC.

Koa satria ny DRC dia tsy maintsy mamakivaky ny PCB hamolavolana ny kisarisary boribory iray manontolo, ao anatin’izany ny marika rehetra, ny tsimatra rehetra, ny tamba-jotra rehetra, ny toetra rehetra, ary mamorona fisie “accessory” tsy misy fetra raha ilaina. Araka ny voalaza ao amin’ny Fizarana 4.0, DRC dia afaka manery ny fiviliana kely amin’ny lalàna famolavolana PCB. Ohatra, ny iray amin’ireo rakitra apetraka dia mety misy ny capacitor decoupling rehetra ampiasaina amin’ny famolavolana PCB. Raha ambany na avo kokoa noho ny nandrasana ny isa capacitance dia hapetraka ny marika mena izay mety hitrangan’ny olan’ny herinaratra DV / DT. Mety ilaina ireto fisie fampidirana ireto, saingy tsy voatery noforonina tamin’ny alàlan’ny fitaovana DRC ara-barotra.

Ahoana no fomba hamolavolana ny DRC mpanamarina fitsipika PCB

Tombony iray hafa an’ny DRC ny hoe azo havaozina mora foana izy mba handraisana ireo endrika famolavolana PCB vaovao, toy ireo izay mety hisy fiatraikany amin’ny fitsipiky ny famolavolana PCB. Ankoatr’izay, raha vantany vao mahazo traikefa ampy ianao eo amin’ilay faritra, dia misy fampiasa maro hafa azonao ampiharina.

Ohatra, raha afaka manoratra ny DRC anao manokana ianao dia afaka manoratra ny fitaovanao famoronana BOM anao manokana handinihana izay ilain’ny mpampiasa manokana, toy ny fomba ahazoana “fitaovana fanampiny” (toy ny sockets, radiatera, na biriky) ho an’ny fitaovana izay tsy izy tenany dia ampahany amin’ny angon-drakitra boribory. Na ny mpamorona PCB dia afaka manoratra ny mpanadihady lisitra Verilog azy manokana miaraka malefaka amin’ny tontolo famolavolana PCB, toy ny fomba ahazoana modely Verilog na rakitra ora mety amin’ny fitaovana manokana. Raha ny marina, satria ny DRC dia mamakivaky ny kisary famolavolana drafitra PCB iray manontolo, dia azo atao ny manangona ny fampahalalana marim-pototra rehetra mba hamoahana ny fanahafana sy / na BOM takiana amin’ny famakafakana ny lisitra PCB Verilog.

Mety ho fivoahana ny firesahana ireo lohahevitra ireo raha tsy manome kaody fandaharana, noho izany dia hampiasa fitaovana retrieval kisarisary ohatra izahay. Ity lahatsoratra ity dia mampiasa orinasa Mentor Graphics hananganana fitaovana ViewDraw mifamatotra amin’ny lisitry ny vokatra PADS-Designer. Ho fanampin’izany, nampiasa ny fitaovana ViewBase izahay, izay tranomboky mahazatra C tsotra kokoa izay azo antsoina hahazoana miditra amin’ny database ViewDraw. Miaraka amin’ny fitaovana ViewBase, ny mpamorona PCB dia afaka manoratra fitaovana DRC feno sy mahomby amin’ny ViewDraw ao amin’ny C / C. Zava-dehibe ny manamarika fa ny fitsipika fototra resahina eto dia mihatra amin’ny fitaovana skema PCB hafa.

Ny fisie fisie

Ankoatry ny angon-drakitra boribory circuit, ny DRC dia mila rakitra fampidirana afaka mamaritra toe-javatra manokana koa, toy ny anaran’ny tambajotram-pahefana ara-dalàna mifamatotra ho azy amin’ny fiaramanidina herinaratra. Ohatra, raha antsoina hoe POWER ny tamba-jotra POWER, ny fiaramanidina POWER dia mifandray amin’ny planina POWER avy hatrany amin’ny alàlan’ny fitaovana fonosana farany (azo ampiharina amin’ny ViewDrawpcbfwd). Ity manaraka ity dia lisitr’ireo rakitra fampidirana izay tsy maintsy apetraka amin’ny toerana manerantany mba hahafahan’ny DRC mahita sy mamaky azy ho azy, ary avy eo mitahiry izany fampahalalana izany ao anaty any amin’ny DRC amin’ny fotoana mihazakazaka.

Ny marika sasany dia tsy maintsy misy tsimatra kofehy ivelany satria tsy mifandray amin’ny sosona tadin’ny herinaratra mahazatra. Ohatra, ny tsipika VCC fitaovana VCC dia mifamatotra amin’ny VCC na GROUND; Ny pin VEE dia azo ampifandraisina amin’ny GROUND na ny -5.0V fiaramanidina. Ho fanampin’izany, ny tadin’ny tadin’ny herinaratra dia azo ampifandraisina amin’ny sivana alohan’ny hahatongavany amin’ny sosona tadin’ny herinaratra.

Ny tadin’ny herinaratra dia tsy mifatotra amin’ny tandindon’ny fitaovana. Fa kosa, ny fananan’ny marika (antsoina hoe SIGNAL eto) dia mamaritra ny pin izay herinaratra na tsindry amin’ny tany ary mamaritra ny anaran’ny tamba-jotra izay tokony ampifandraisina ny tsimatra.

SIGNAL = VCC: 10

SIGNAL = TANY: 20

DRC dia afaka mamaky ity fananana ity ary miantoka fa ny anaran’ny tamba-jotra dia voatahiry ao amin’ny fisie legal_pwr_net_name. Raha tsy tafiditra ao amin’ny legal_pwr_net_name ny anaran’ny tamba-jotra, dia tsy hifandray amin’ny fiaramanidina herinaratra ny pin ny herinaratra, izay olana lehibe.

Mametraka ara-dalàna_pwr_net_name Tsy voatery. Ity rakitra ity dia misy ny anaran’ny tambajotra ara-dalàna rehetra misy famantarana POWER, toy ny VCC, V3_3P, ary VDD. Ao amin’ny fampitaovana PCB / fizotran-dàlana, ny anarana dia tokony ho mora tohina. Amin’ny ankapobeny, ny VCC dia tsy mitovy amin’ny VCC na VCC. Ny VCC dia mety ho famatsiana herinaratra 5.0V ary ny V3_3P dia mety ho famatsiana herinaratra 3.3V.

Ny rakitra legal_pwr_net_name dia tsy voatery, satria ny rakitra fikirakirana backend encapsulation backend dia tsy maintsy misy andian-tamba-jotra tariby herinaratra manan-kery. Raha CadencePCB no ampiasaina handrafetana ny fitaovana tariby Allegro an’ny Systems ‘, ny anaran’ny rakitra PCBFWD dia Allegro.cfg ary manana ireto masontsivana manaraka ireto:

GROUND: VSS CGND GND GROUND

Famatsiana herinaratra: VCC VDD VEE V3_3P V2_5P 5V 12V

Raha azon’i DRC vakiana mivantana ny fisie aloka.cfg fa tsy ara-dalàna_pwr_net_name dia hahazo vokatra tsara kokoa (izany hoe tsy dia misy loatra ny fampidirana lesoka).