Kumaha mendesain THE PCB aturan checker DRC?

Tulisan ieu sacara ringkes ngajelaskeun metode pamrograman PCB desain aturan pamariksaan (DRC) sistem. Sakali desain PCB diala nganggo alat generasi diagram sirkuit, DRC tiasa dijalankeun pikeun milarian kagagalan anu ngalanggar aturan desain PCB. Ieu kedah dilakukeun sateuacan pamrosésan salajengna dimimitian, sareng pamekar generator sirkuit kedah nyayogikeun alat-alat DRC anu seueur desainer PCB tiasa gampang dikuasai.

ipcb

Aya seueur kaunggulan nyerat pamariksaan desain PCB anjeun nyalira. Sedengkeun pamariksaan desain PCB henteu saderhana éta, éta henteu tiasa diatur, sabab anu mana waé desainer PCB anu wawuh kana basa pamrograman atanapi skrip anu tiasa dilakukeun, sareng manpaatna henteu tiasa ditebihkeun.

Nanging, pakakas tujuan umum anu dipasarkeun sering henteu cukup fleksibel pikeun nyumponan kabutuhan desain PCB khusus. Hasilna, sarat fitur anyar kedah dilaporkeun ku konsumén ka pamekar alat DRC, anu sering meryogikeun artos sareng waktos, utamina upami saratna teras-terasan diénggalan. Untungna, kaseueuran pamekar alat tiasa nyayogikeun palanggan na ku cara anu gampang pikeun nulis DRC sorangan pikeun nyumponan kabutuhan khususna. Nanging, alat anu kuat ieu henteu dikenal atanapi dianggo sacara luas. Tulisan ieu nyayogikeun pituduh praktis pikeun ngamanfaatkeun alat DRC.

Kusabab DRC kedah ngalangkungan PCB pikeun mendesain diagram diagram sadayana, kalebet unggal simbol, unggal pin, unggal jaringan, unggal atribut, sareng nyiptakeun jumlah file “aksésori” tanpa wates upami diperlukeun. Sakumaha ditétélakeun dina Bagéan 4.0, DRC tiasa nandaan panyimpangan minor tina aturan desain PCB. Salaku conto, salah sahiji file anu napel tiasa ngandung sadayana kapasitor decoupling anu dianggo dina desain PCB. Upami nomer kapasitansi langkung handap atanapi langkung luhur tibatan anu diarepkeun, tanda beureum bakal ditempatkeun dimana masalah garis kakuatan DV / DT tiasa kajantenan. Payil tambahan ieu panginten diperyogikeun, tapi éta henteu merta didamel ku alat DRC komérsial.

Kumaha ngarancang DRC aturan aturan PCB

Kauntungan sanés DRC nyaéta éta tiasa gampang diénggalan pikeun nampung fitur desain PCB énggal, sapertos anu tiasa mangaruhan aturan desain PCB. Sumawona, sakali anjeun kéngingkeun pangalaman anu cekap di daérah éta, aya seueur fitur sanés anu tiasa anjeun laksanakeun.

Salaku conto, upami anjeun tiasa nyerat DRC anjeun nyalira, anjeun tiasa nyerat alat nyiptakeun BOM anjeun nyalira kalayan hadé pikeun ngabutuhkeun kabutuhan pangguna khusus, sapertos kumaha kéngingkeun “perangkat tambahan” (sapertos stop kontak, radiator, atanapi obeng) pikeun alat anu sanés sorangan bagian tina database diagram sirkuit. Atanapi desainer PCB tiasa nyerat analisa Verilog netlist na nyalira kalayan kalenturan anu cekap dina lingkungan desain PCB, sapertos kumaha kéngingkeun modél Verilog atanapi file waktos anu cocog pikeun alat khusus. Nyatana, kusabab DRC ngalangkungan diagram diagram desain PCB sadayana, dimungkinkeun pikeun ngumpulkeun sadaya inpormasi anu valid pikeun ngaluarkeun simulasi sareng / atanapi BOM anu diperyogikeun pikeun analisis PCB desain Verilog netlist.

Éta bakal manjangan pikeun ngabahas topik ieu tanpa nyayogikeun kode program, janten urang nganggo alat panyabutan diagram sirkuit salaku conto. Tulisan ieu nganggo perusahaan Mentor Graphics pikeun ngembangkeun alat ViewDraw anu dilampirkeun kana lini produk PADS-Designer. Salaku tambahan, kami nganggo alat ViewBase, nyaéta perpustakaan rutin C anu disederhanakeun anu tiasa disebat kanggo ngaksés databés ViewDraw. Kalayan alat ViewBase, désainer PCB tiasa sacara gampil nyerat alat DRC anu lengkep sareng éfisién pikeun ViewDraw di C / C. Penting pikeun dicatet yén prinsip dasar anu dibahas di dieu dilarapkeun kana alat skéma PCB sanés.

File input

Salaku tambahan kana database diagram sirkuit, DRC ogé peryogi file input anu tiasa ngajelaskeun kaayaan spésifik, sapertos nami jaringan listrik anu sah sacara otomatis nyambung kana pesawat listrik. Salaku conto, upami jaringan POWER disebat POWER, pesawat POWER otomatis nyambung kana pesawat POWER nganggo alat paket back-end (sakumaha lumaku pikeun ViewDrawpcbfwd). Ieu mangrupikeun daptar file input anu kedah ditempatkeun dina lokasi global anu tetep sahingga DRC sacara otomatis mendakan sareng maca, teras nyimpen inpormasi ieu sacara internal ka DRC dina waktos ngaji.

Sababaraha simbol kedah ngagaduhan pin kabel kakuatan éksternal sabab henteu nyambung kana lapisan kabel kakuatan biasa. Salaku conto, pin ECCC parangkat ECL disambungkeun kana VCC atanapi GROUND; Pin VEE na tiasa dihubungkeun sareng GROUND atanapi pesawat -5.0V. Salaku tambahan, pin kabel listrik ogé tiasa nyambung kana saringan sateuacan dugi ka lapisan kabel listrik.

Pin kabel listrik biasana henteu napel kana simbol alat. Sabalikna, sipat simbol (disebat SIGNAL didieu) ngajelaskeun pin anu mangrupikeun pin kakuatan atanapi ground ground sareng ngajelaskeun nami jaringan anu kedah dihubungkeun ku pin.

TANDA = VCC: 10

TANDA = KADUNG: 20

DRC tiasa maca sipat ieu sareng mastikeun yén nami jaringan disimpen dina file legal_pwr_net_name. Upami nami jaringan henteu kalebet kana legal_pwr_net_name, pin kakuatan moal nyambung kana pesawat listrik, anu mangrupikeun masalah anu serius.

File legal_pwr_net_name Opsional. File ieu ngandung sadaya nami jaringan sah sinyal POWER, sapertos VCC, V3_3P, sareng VDD. Dina tata perenah PCB / alat peruteyan, nami kedah peka-peka. Sacara umum, VCC henteu sami sareng VCC atanapi VCC. VCC tiasa janten catu daya 5.0V sareng V3_3P tiasa janten catu daya 3.3V.

File legal_pwr_net_name nyaéta opsional, kusabab file konfigurasi alat encapsulation backend biasana kedah ngandung sakumpulan nami jaringan kabel kakuatan anu sah. Upami CadencePCB dianggo pikeun ngarancang pakakas sambungan kabel Allegro Sistem ‘, nami file PCBFWD nyaéta Allegro.cfg sareng ngagaduhan parameter entri ieu:

Kuburan: VSS CGND GND GROUND

Suplai kakuatan: VCC VDD VEE V3_3P V2_5P 5V 12V

Upami DRC tiasa maca file alegro.cfg langsung tibatan legal_pwr_net_name, éta bakal kéngingkeun hasil anu langkung saé (ie kirang kasempetan ngenalkeun kasalahan).