Sut i ddylunio gwiriwr rheolau PCB DRC?

Mae’r papur hwn yn disgrifio’n fyr ddull o raglennu PCB system gwiriwr rheolau dylunio (DRC). Unwaith y ceir y dyluniad PCB gan ddefnyddio’r offeryn cynhyrchu diagram cylched, gellir rhedeg DRC i ddod o hyd i unrhyw fethiannau sy’n torri rheolau dylunio PCB. Rhaid gwneud hyn cyn i’r prosesu dilynol ddechrau, a rhaid i ddatblygwr y generadur cylched ddarparu offer DRC y gall y mwyafrif o ddylunwyr PCB eu meistroli’n hawdd.

ipcb

Mae yna lawer o fanteision i ysgrifennu eich gwiriwr rheolau dylunio PCB eich hun. Er nad yw’r gwiriwr dylunio PCB mor syml â hynny, nid yw’n na ellir ei reoli, oherwydd gall unrhyw ddylunydd PCB sy’n gyfarwydd ag ieithoedd rhaglennu neu sgriptio presennol ei wneud, ac mae’r buddion yn anochel.

Fodd bynnag, yn aml nid yw offer pwrpas cyffredinol wedi’u marchnata yn ddigon hyblyg i ddiwallu anghenion dylunio PCB penodol. O ganlyniad, rhaid i gwsmeriaid roi gwybod am ddatblygwyr offer DRC am ofynion nodwedd newydd, sy’n aml yn cymryd arian ac amser, yn enwedig os yw’r gofynion yn cael eu diweddaru’n gyson. Yn ffodus, gall y mwyafrif o ddatblygwyr offer ddarparu ffordd hawdd i’w cwsmeriaid ysgrifennu eu DRC eu hunain i ddiwallu eu hanghenion penodol. Fodd bynnag, nid yw’r offeryn pwerus hwn yn cael ei gydnabod na’i ddefnyddio’n helaeth. Mae’r erthygl hon yn darparu canllaw ymarferol ar gael y gorau o offer DRC.

Gan fod yn rhaid i DRC groesi’r PCB i ddylunio’r diagram cylched cyfan, gan gynnwys pob symbol, pob pin, pob rhwydwaith, pob priodoledd, a chreu nifer anghyfyngedig o ffeiliau “affeithiwr” os oes angen. Fel y disgrifir yn Adran 4.0, gall DRC dynnu sylw at unrhyw wyro bach oddi wrth reolau dylunio PCB. Er enghraifft, gall un o’r ffeiliau atodedig gynnwys yr holl gynwysyddion datgysylltu a ddefnyddir yn y dyluniad PCB. Os yw’r rhif cynhwysedd yn is neu’n uwch na’r disgwyl, rhoddir marciau coch lle gall problemau llinell pŵer DV / DT ddigwydd. Efallai y bydd angen y ffeiliau ategol hyn, ond nid ydynt o reidrwydd yn cael eu creu gan unrhyw offeryn DRC masnachol.

Sut i ddylunio DRC gwiriwr rheolau PCB

Mantais arall DRC yw y gellir ei ddiweddaru’n hawdd i ddarparu ar gyfer nodweddion dylunio PCB newydd, fel y rhai a allai effeithio ar reolau dylunio PCB. Ar ben hynny, unwaith y byddwch chi’n ennill digon o brofiad yn yr ardal, mae yna lawer o nodweddion eraill y gallwch chi eu rhoi ar waith.

Er enghraifft, os gallwch chi ysgrifennu eich DRC eich hun, gallwch ysgrifennu eich teclyn creu BOM eich hun i fynd i’r afael yn well ag anghenion penodol defnyddwyr, megis sut i gael “caledwedd ychwanegol” (fel socedi, rheiddiaduron, neu sgriwdreifers) ar gyfer dyfeisiau nad ydyn nhw eu hunain yn rhan o gronfa ddata’r diagram cylched. Neu gall y dylunydd PCB ysgrifennu ei ddadansoddwr rhestr net Verilog ei hun gyda digon o hyblygrwydd yn amgylchedd dylunio PCB, megis sut i gael modelau Verilog neu ffeiliau amser sy’n addas ar gyfer dyfais benodol. Mewn gwirionedd, oherwydd bod DRC yn croesi’r diagram cylched dylunio PCB cyfan, mae’n bosibl casglu’r holl wybodaeth ddilys i allbwn yr efelychiad a / neu’r BOM sy’n ofynnol ar gyfer dadansoddiad rhestr net Verilog dylunio PCB.

Byddai’n ymestyn i drafod y pynciau hyn heb ddarparu unrhyw god rhaglen, felly byddwn yn defnyddio teclyn adfer diagram cylched fel enghraifft. Mae’r erthygl hon yn defnyddio cwmni Mentor Graphics i ddatblygu offeryn ViewDraw sydd ynghlwm wrth linell gynnyrch PADS-Designer. Yn ogystal, gwnaethom ddefnyddio offeryn ViewBase, sy’n llyfrgell arferol C wedi’i symleiddio y gellir ei galw i gael mynediad at gronfa ddata ViewDraw. Gyda’r offeryn ViewBase, gall dylunwyr PCB ysgrifennu offer DRC cyflawn ac effeithlon yn hawdd ar gyfer ViewDraw yn C / C. Mae’n bwysig nodi bod yr egwyddorion sylfaenol a drafodir yma yn berthnasol i unrhyw offeryn sgematig PCB arall.

Y ffeil fewnbwn

Yn ogystal â’r gronfa ddata diagram cylched, mae angen ffeiliau mewnbwn ar DRC hefyd a all ddisgrifio sefyllfaoedd penodol, megis enw rhwydwaith pŵer cyfreithlon sydd wedi’i gysylltu’n awtomatig â’r awyren bŵer. Er enghraifft, os gelwir y rhwydwaith POWER yn POWER, mae’r awyren POWER wedi’i chysylltu’n awtomatig â’r awyren POWER gan ddefnyddio dyfais pecyn pen ôl (fel sy’n berthnasol i ViewDrawpcbfwd). Mae’r canlynol yn rhestr o ffeiliau mewnbwn y mae’n rhaid eu rhoi mewn lleoliad byd-eang sefydlog fel y gall DRC ddod o hyd i ddarllen a’i ddarllen yn awtomatig, ac yna arbed y wybodaeth hon yn fewnol i DRC ar amser rhedeg.

Rhaid i rai symbolau fod â phinnau llinyn pŵer allanol oherwydd nad ydyn nhw wedi’u cysylltu â’r haen llinyn pŵer rheolaidd. Er enghraifft, mae pinnau VCC y ddyfais ECL naill ai wedi’u cysylltu â’r VCC neu’r GROUND; Gellir cysylltu ei pin VEE â GROUND neu’r awyren -5.0V. Yn ogystal, gellir cysylltu’r pin llinyn pŵer â’r hidlydd cyn cyrraedd haen y llinyn pŵer.

Fel rheol nid yw pin cebl pŵer ynghlwm wrth symbol dyfais. Yn lle, mae eiddo o’r symbol (o’r enw SIGNAL yma) yn disgrifio pa pin sy’n bwer neu’n pin daear ac yn disgrifio’r enw rhwydwaith y dylid cysylltu’r pin ag ef.

SIGNAL = VCC: 10

SIGNAL = TIR: 20

Gall DRC ddarllen yr eiddo hwn a sicrhau bod enw’r rhwydwaith yn cael ei storio yn y ffeil legal_pwr_net_name. Os nad yw enw’r rhwydwaith wedi’i gynnwys yn legal_pwr_net_name, ni fydd y pin pŵer wedi’i gysylltu â’r awyren bŵer, sy’n broblem ddifrifol.

Ffeil legal_pwr_net_name Dewisol. Mae’r ffeil hon yn cynnwys holl enwau rhwydwaith cyfreithiol signalau POWER, megis VCC, V3_3P, a VDD. Mewn cynllun PCB / offer llwybro, mae angen i enwau fod yn sensitif i achosion. Yn gyffredinol, nid yw VCC yr un peth â VCC na VCC. Gall VCC fod yn gyflenwad pŵer 5.0V a gall V3_3P fod yn gyflenwad pŵer 3.3V.

Mae’r ffeil legal_pwr_net_name yn ddewisol, oherwydd fel rheol mae’n rhaid i ffeil ffurfweddu’r ddyfais amgáu backend gynnwys set o enwau rhwydwaith cebl pŵer dilys. Os defnyddir CadencePCB i ddylunio offeryn weirio Allegro Systemau, enw ffeil PCBFWD yw Allegro.cfg ac mae ganddo’r paramedrau mynediad canlynol:

TIR: VSS CGND GND GROUND

Cyflenwad pŵer: VCC VDD VEE V3_3P V2_5P 5V 12V

Pe gallai DRC ddarllen y ffeil allegro.cfg yn uniongyrchol yn lle legal_pwr_net_name, byddai’n cael canlyniadau gwell (hy llai o siawns o gyflwyno gwallau).