Maitiro ekugadzira Iyo PCB mutemo checker DRC?

Iri bepa rinotsanangura muchidimbu nzira yekuronga PCB dhizaini mutemo wekutarisa (DRC) system. Kana iyo pcb dhizaini yawanikwa uchishandisa wedunhu dhayagiramu chizvarwa chishandiso, DRC inogona kumhanyisa kuti iwane chero kukundikana kunotyora pcb dhizaini mitemo. Izvi zvinofanirwa kuitwa kusati kwatanga kugadziriswa kwatanga, uye anovandudza jenareta wedunhu anofanira kupa maturusi eDRC ayo vagadziri vePCB vanogona kugona.

ipcb

Pane zvakawanda zvakanakira kunyora yako wega PCB dhizaini mutemo wekutarisa. Nepo iyo PCB dhizaini yekutarisa isiri iyo yakapusa, haisi isingadzimike, nekuti chero pcb dhizaini inozivikanwa nezviripo programming kana kunyora mitauro inogona kuzviita, uye mabhenefiti haafungidzike.

Nekudaro, zvakashambadzirwa zvakajairika-chinangwa maturusi kazhinji hazvigadzikane zvakakwana kuzadzisa yakatarwa pcb dhizaini yekuda. Nekuda kweizvozvo, zvimiro zvitsva zvinodiwa zvinofanirwa kutaurwa nevatengi kuDRC vanogadzira maturusi, izvo zvinowanzotora mari nenguva, kunyanya kana izvo zvinodiwa zvichingowedzeredzwa. Neraki, vazhinji vanogadzira maturusi vanogona kupa vatengi vavo nzira iri nyore yekunyora yavo veDRC kuzadzisa zvido zvavo. Nekudaro, chishandiso chine simba ichi hachizivikanwe zvakanyanya kana kushandiswa. Ichi chinyorwa chinopa inoshanda gwara rekuwana zvakanyanya kubva kuDRC maturusi.

Sezvo DRC inofanirwa kuyambuka iyo pcb kugadzira dhizaini dhizaini, kusanganisira mucherechedzo wese, pini yega yega, network dzese, hunhu hwese, uye kugadzira nhamba isingaverengeke ye “zvinowoneka” mafaira kana zvichidikanwa. Sezvinotsanangurwa muChikamu 4.0, DRC inogona kumisikidza chero kutsauka kudiki kubva kuPCB dhizaini mitemo. Semuenzaniso, imwe yemafaira akasungirirwa inogona kunge iine ese ekudzora ma capacitor anoshandiswa mukugadzirwa kwePCB. Kana iyo capacitance nhamba iri yakaderera kana yakakwirira kupfuura yaitarisirwa, mamaki matsvuku anozoiswa panogona kuitika magetsi tambo yeDV / DT. Aya ma ancillary mafaera anogona kudikanwa, asi iwo haanyatso kugadzirwa nechero yekutengesa DRC chishandiso.

Maitiro ekugadzira Iyo PCB mutemo checker DRC

Imwe mukana weDRC ndeyekuti inogona kuvandudzwa nyore kuti igone mitsva yePCB dhizaini, senge iyo inogona kukanganisa mitemo yekugadzira PCB. Zvakare, kana uchinge wawana ruzivo rwakakwana munzvimbo iyi, pane zvimwe zvakawanda zvaunogona kuita.

Semuenzaniso, kana iwe uchikwanisa kunyora yako DRC, unogona kunyora yako BOM yekugadzira chishandiso kugadzirisa zvirinani zvinodiwa nevashandisi, senzira yekuwana “yekuwedzera Hardware” (senge masokisi, ma radiator, kana screwdrivers) yemidziyo isiri ivo pachavo chikamu chedunhu dhayagiramu dhatabhesi. Kana iye PCB mugadziri anokwanisa kunyora yake yega Verilog netlist analyzer nekukwanisika kushanduka muPCB dhizaini nharaunda, senge nzira yekuwana maVerilog mamodheru kana mafaera enguva akakodzera kune chakati mudziyo. Muchokwadi, nekuti DRC inodarika iyo pcb dhizaini dhizaini dhayagiramu, zvinokwanisika kuunganidza ruzivo rwese rwunobuditsa kuburitsa simulation uye / kana BOM inodikanwa yePCB dhizaini Verilog netlist ongororo.

Chingave chinotambanuka kukurukura idzi misoro pasina kupa chero kodhi yechirongwa, saka isu tinoshandisa yedunhu dhizaini yekudzosa chishandiso semuenzaniso. Chinyorwa ichi chinoshandisa kambani yeMentor Graphics kugadzira ViewDraw chishandiso chakanamatira kune CHEMA chigadzirwa chePADS-Dhizaini. Uye zvakare, isu takashandisa iyo ViewBase chishandiso, inova yakareruka C tsika raibhurari iyo inogona kudaidzwa kuti isvike iyo ViewDraw dhatabhesi. Neye ViewBase chishandiso, PCB vagadziri vanogona nyore nyore kunyora zvakakwana uye inoshanda DRC maturusi e ViewDraw muC / C. Izvo zvakakosha kuti uzive kuti izvo zvakakosha zvimiro zvinokurukurwa pano zvinoshanda kune chero imwe pcb yechirongwa chekushandisa.

Iyo yekuisa faira

Pamusoro peiyo dhairekitori yedhayagiramu yedunhu, DRC inodawo mafaira ekuisa anokwanisa kutsanangura mamiriro ezvinhu, senge zita remagetsi epamhepo rinobatika otomatiki akabatana nendege yemagetsi. Semuenzaniso, kana POWER network ichinzi SIMBA, iyo SIMBA ndege inozvibatanidza otomatiki kune iyo SIMBA ndege ichishandisa back-end package package (sezvazvinoshanda kune ViewDrawpcbfwd). Inotevera irondedzero yemafaira ekuisa anofanirwa kuiswa munzvimbo yakatarwa yepasirese kuitira kuti DRC ikwanise kuwana nekuverenga, wozochengetedza ruzivo urwu mukati kuDRC panguva yekumhanya.

Zvimwe zviratidzo zvinofanirwa kunge zviine zvekunze tambo tambo pini nekuti hazvina kubatana kune yakajairwa simba tambo tambo. Semuenzaniso, iyo ECL chishandiso VCC pini dzinogona kunge dzakabatana neVCC kana GROUND; Pini yaro yeVEE inogona kuve yakabatana nePASI kana iyo -5.0V ndege. Uye zvakare, iyo tambo yesimbi pini inogona zvakare kusunganidzwa kune iyo firita usati wasvika kune tambo yesimbi tambo.

Pini tambo yemagetsi haiwanzobatanidzwa kuchiratidzo chemidziyo. Panzvimbo iyoyo, chivakwa chechiratidzo (chinonzi CHIRATIDZO apa) chinotsanangura pini isimba kana pini yepasi uye inotsanangura zita remambure iro pini rinofanira kubatanidzwa.

CHIKAMU = VCC: 10

CHIRATIDZO = PASI: 20

DRC inogona kuverenga chivakwa ichi uye nekuona kuti zita renetiweki rakachengetwa mune yepamutemo_pwr_net_name faira. Kana zita renetiweki risina kubatanidzwa pamutemo_pwr_net_name, pini yemagetsi haizobatanidzwe nendege yemagetsi, rinova dambudziko rakakomba.

Faira zviri pamutemo_pwr_net_name Sarudzo. Iyi faira ine ese epamutemo network mazita ePOWER masaini, senge VCC, V3_3P, uye VDD. MuPCB dhizaini / yekushandisa maturusi, mazita anofanirwa kuve anonzwisisika. Kazhinji, VCC haina kufanana neVCC kana VCC. VCC inogona kuva 5.0V magetsi uye V3_3P inogona kuve 3.3V magetsi.

Iyo faira zviri pamutemo_pwr_net_name inosarudzika, nekuti iyo backend encapsulation mudziyo yekumisikidza faira inofanirwa kunge iine seti yemagetsi emagetsi tambo network mazita. Kana CadencePCB ikashandiswa kugadzira Systems ‘Allegro wiring chishandiso, iyo PCBFWD faira zita iri Allegro.cfg uye ine inotevera ekupinda parameter:

PASI: VSS CGND GND PASI

Magetsi: VCC VDD VEE V3_3P V2_5P 5V 12V

Kana DRC yaigona kuverenga iyo allegro.cfg faira yakanangana pachinzvimbo chepamutemo_pwr_net_name, yaizowana mhedzisiro (kureva mukana mushoma wekuunza zvikanganiso).