Mokhoa oa ho rala melao ea PCB e hlahlobang DRC?

Pampiri ena e hlalosa hakhutšoanyane mokhoa oa ho etsa mananeo PCB moralo oa melao ea ho hlahloba (DRC). Hang ha moralo oa PCB o fumanoa ho sebelisoa sesebelisoa sa potoloho ea potoloho, DRC e ka sebetsoa ho fumana liphoso tse tlolang melao ea moralo oa PCB. Sena se tlameha ho etsoa pele ts’ebetso e latelang e qala, mme moqapi oa jenereithara ea potoloho o tlameha ho fana ka lisebelisoa tsa DRC tseo boholo ba baqapi ba PCB ba ka li tsebang habonolo.

ipcb

Ho na le menyetla e mengata ea ho ngola tlhahlobo ea hau ea moralo oa PCB. Le ha sehlahlobi sa moralo oa PCB se se bonolo hakalo, ha se khone ho laoleha, hobane moqapi ofe kapa ofe oa PCB ea tloaetseng lipuo kapa mananeo a seng a ntse a le teng a ka e etsa, mme melemo e ke ke ea lekanngoa.

Leha ho le joalo, lisebelisoa tse rekisoang ka sepheo se akaretsang ha li fetohe habonolo ho fihlela litlhoko tse itseng tsa moralo oa PCB. Ka lebaka leo, litlhoko tse ncha tsa likarolo li tlameha ho tlalehoa ke bareki ho bahlahisi ba lisebelisoa tsa DRC, tse atisang ho nka chelete le nako, haholoholo haeba litlhokahalo li lula li ntlafatsoa. Ka lehlohonolo, bahlahisi ba lisebelisoa tse ngata ba ka fa bareki ba bona mokhoa o bonolo oa ho ngola DRC ea bona ho fihlela litlhoko tsa bona. Leha ho le joalo, sesebelisoa sena se matla ha se tsejoe haholo kapa hona ho sebelisoa. Sengoloa sena se fana ka tataiso e sebetsang ea ho rua molemo ka botlalo ho lithulusi tsa DRC.

Ho tloha ha DRC e tlameha ho haola le PCB ho rala setšoantšo sohle sa potoloho, ho kenyeletsoa letshwao le leng le le leng, pini e ngoe le e ngoe, marang-rang ohle, tšobotsi e ngoe le e ngoe, le ho etsa palo e se nang moeli ea lifaele tsa “lisebelisoa” ha ho hlokahala. Joalokaha ho hlalositsoe Karolong ea 4.0, DRC e ka tšoaea kheloho efe kapa efe e nyane ho melao ea moralo oa PCB. Mohlala, e ‘ngoe ea lifaele tse hoketsoeng e kanna ea ba le li-capacitor tsohle tse senyehang tse sebelisitsoeng ho moralo oa PCB. Haeba palo ea capacitance e le tlase kapa e phahame ho feta kamoo ho neng ho lebelletsoe, matshwao a mafubelu a tla beoa moo mathata a mohala oa motlakase oa DV / DT a ka hlahang. Lifaele tsena tse tlatselletsang li kanna tsa hlokahala, empa ha se hakaalo hore li entsoe ke sesebelisoa sa khoebo sa DRC.

Mokhoa oa ho rala melao ea PCB e hlahlobang DRC

Monyetla o mong oa DRC ke hore o ka ntlafatsoa habonolo ho amohela likarolo tse ncha tsa moralo oa PCB, joalo ka tse ka amang melao ea moralo oa PCB. Ho feta moo, hang ha u se u fumane boiphihlelo bo lekaneng sebakeng seo, ho na le lintlha tse ling tse ngata tseo u ka li sebelisang.

Mohlala, haeba u ka ngola DRC ea hau, u ka ngola sesebelisoa sa hau sa popo sa BOM ho sebetsana le litlhoko tse khethehileng tsa mosebelisi, joalo ka mokhoa oa ho fumana “lisebelisoa tse ling” (joalo ka lisokisi, li-radiator, kapa li-screwdriver) bakeng sa lisebelisoa tse ka bobona ke karolo ea database ea setšoantšo sa potoloho. Kapa moqapi oa PCB a ka ngola sehlahlobi sa hae sa lethathamo la Verilog ka maemo a lekaneng tikolohong ea moralo oa PCB, joalo ka mokhoa oa ho fumana mefuta ea Verilog kapa lifaele tsa nako tse loketseng sesebelisoa se itseng. Ebile, hobane DRC e haola setšoantšo sohle sa potoloho ea PCB, ho a khonahala ho bokella tlhaiso-leseling e nepahetseng ho hlahisa ketsiso le / kapa BOM e hlokahalang bakeng sa tlhahlobo ea PCB ea Verilog netlist.

E ka ba bonolo ho bua ka lihlooho tsena ntle le ho fana ka khoutu ea lenaneo, ka hona re tla sebelisa sesebelisoa sa ho khutlisa lits’oants’o tsa potoloho e le mohlala. Sengoliloeng sena se sebelisa k’hamphani ea Mentor Graphics ho nts’etsapele sesebelisoa sa ViewDraw se hokahantsoeng le mohala oa sehlahisoa oa PADS-Designer. Ntle le moo, re sebelisitse sesebelisoa sa ViewBase, e leng laeborari ea C e nolofalitsoeng e ka bitsitsoeng ho fihlella database ea ViewDraw. Ka sesebelisoa sa ViewBase, baqapi ba PCB ba ka ngola habonolo lisebelisoa tse felletseng le tse sebetsang tsa DRC bakeng sa ViewDraw ho C / C. It is important to note that the basic principles discussed here apply to any other PCB schematic tool.

Faele ea ho kenya

Ntle le database ea setšoantšo sa potoloho, DRC e boetse e hloka lifaele tsa ho kenya tse ka hlalosang maemo a ikhethileng, joalo ka lebitso la netweke ea motlakase e molaong e hoketsoeng ka kotlolloho sefofaneng sa motlakase. Mohlala, haeba marangrang a MATLA a bitsoa MATLA, sefofane sa MATLA se hokelloa ka boiketsetso ho sefofane sa MATLA se sebelisa sesebelisoa sa sephutheloana sa morao (joalo ka ha se sebelisoa ho ViewDrawpcbfwd). Se latelang ke lenane la lifaele tsa ho kenya tse lokelang ho beoa sebakeng se tsitsitseng sa lefats’e hore DRC e ka iphumanela le ho e bala ka bo eona, ebe e boloka tlhahisoleseling ena ka hare ho DRC ka nako ea eona.

Matšoao a mang a tlameha ho ba le lithakhisa tsa thapo ea motlakase kantle hobane ha li hokahane le mohala o tloaelehileng oa thapo ea motlakase. Mohlala, lisebelisoa tsa ECL tsa lisebelisoa tsa VCC li hokahane le VCC kapa GROUND; Phini ea eona ea VEE e ka hokahanngoa le GROUND kapa sefofane sa -5.0V. Ntle le moo, pini ea thapo ea motlakase le eona e ka hokahanngoa le sehlaseli pele e fihla mohalong oa khoele ea motlakase.

Phini ea thapo ea motlakase ha e tloaetse ho hokelloa ho lets’oao la sesebelisoa. Sebakeng seo, thepa ea lets’oao (e bitsoang SIGNAL mona) e hlalosa hore na ke phini efe ea matla kapa phini ea fatše mme e hlalosa lebitso la marang-rang leo pini e lokelang ho hokahanngoa le lona.

SIGNAL = VCC: 10

SIGNAL = LEFATSHE: 20

DRC e ka bala thepa ena mme ea netefatsa hore lebitso la marang-rang le bolokiloe ka faele ea legal_pwr_net_name. Haeba lebitso la marang-rang le sa kenyelletsoa ka molao_pwr_net_name, pini ea motlakase e ke ke ea hokelloa sefofaneng sa motlakase, e leng bothata bo boholo.

Faele legal_pwr_net_name Boikhethelo. Faele ena e na le mabitso a marang-rang a molao a lipontšo tsa POWER, joalo ka VCC, V3_3P, le VDD. Ho lisebelisoa tsa moralo / taolo ea PCB, mabitso a hloka ho tsotelloa. Ka kakaretso, VCC ha e tšoane le VCC kapa VCC. VCC e ka ba phepelo ea motlakase ea 5.0V mme V3_3P e ka ba phepelo ea motlakase ea 3.3V.

Feshene legal_pwr_net_name ke ea boikhethelo, hobane faele ea phetisetso ea sesebedisoa sa backend encapsulation hangata e tlameha ho ba le lethathamo la mabitso a nepahetseng a marang-rang a motlakase. Haeba CadencePCB e sebelisoa ho rala sesebelisoa sa Allegro wiring, lebitso la faele la PCBFWD ke Allegro.cfg mme e na le lipehelo tse latelang tsa ho kena:

LEFATŠE: VSS CGND GND GROUND

Phepelo ea motlakase: VCC VDD VEE V3_3P V2_5P 5V 12V

Haeba DRC e ne e ka bala file ea allegro.cfg ka kotloloho sebakeng sa legal_pwr_net_name, e tla fumana litholoana tse ntle (ke hore, monyetla o monyane oa ho hlahisa liphoso).