How to design THE PCB rule checker DRC?

Karatasi hii inaelezea kwa kifupi njia ya programu PCB mfumo wa kuangalia sheria za kubuni (DRC). Mara tu muundo wa PCB unapopatikana kwa kutumia zana ya kizazi ya mchoro wa mzunguko, DRC inaweza kuendeshwa ili kupata makosa yoyote ambayo yanakiuka sheria za muundo wa PCB. Hii lazima ifanyike kabla ya usindikaji unaofuata kuanza, na msanidi jenereta wa mzunguko lazima atoe zana za DRC ambazo wabunifu wengi wa PCB wanaweza kuzitawala kwa urahisi.

ipcb

Kuna faida nyingi za kuandika hakiki yako ya muundo wa PCB. Wakati hakiki ya muundo wa PCB sio rahisi sana, haiwezi kudhibitiwa, kwa sababu mbuni yeyote wa PCB anayefahamu programu zilizopo au lugha za maandishi anaweza kuifanya, na faida ni kubwa.

Walakini, zana za kusudi la jumla zinauzwa mara nyingi hazibadiliki vya kutosha kukidhi mahitaji maalum ya muundo wa PCB. Kama matokeo, mahitaji mpya ya huduma lazima iripotiwe na wateja kwa watengenezaji wa zana za DRC, ambazo mara nyingi huchukua pesa na wakati, haswa ikiwa mahitaji yanasasishwa kila wakati. Kwa bahati nzuri, watengenezaji wa zana nyingi wanaweza kuwapa wateja wao njia rahisi ya kuandika DRC yao wenyewe kukidhi mahitaji yao maalum. Walakini, zana hii yenye nguvu haitambuliwi sana au haitumiwi. Nakala hii inatoa mwongozo wa vitendo wa kunufaika zaidi na zana za DRC.

Kwa kuwa DRC lazima ivuke PCB ili kubuni mchoro mzima wa mzunguko, pamoja na kila alama, kila pini, kila mtandao, kila sifa, na kuunda idadi isiyo na ukomo ya faili za “nyongeza” ikiwa ni lazima. Kama ilivyoelezewa katika Sehemu ya 4.0, DRC inaweza kutia alama kupotoka yoyote ndogo kutoka kwa sheria za muundo wa PCB. Kwa mfano, faili moja iliyoambatishwa inaweza kuwa na vitenganishi vyote vya kutenganisha vilivyotumiwa katika muundo wa PCB. Ikiwa nambari ya uwezo iko chini au juu kuliko inavyotarajiwa, alama nyekundu zitawekwa mahali ambapo shida za laini ya umeme ya DV / DT zinaweza kutokea. Faili hizi saidizi zinaweza kuwa muhimu, lakini sio lazima ziundwe na zana yoyote ya kibiashara ya DRC.

Jinsi ya kubuni KIWANGO CHA Tawala cha PCB DRC

Faida nyingine ya DRC ni kwamba inaweza kusasishwa kwa urahisi kutoshea vipengee vipya vya muundo wa PCB, kama vile zile ambazo zinaweza kuathiri sheria za muundo wa PCB. Kwa kuongezea, ukishapata uzoefu wa kutosha katika eneo hilo, kuna huduma zingine nyingi ambazo unaweza kutekeleza.

Kwa mfano, ikiwa unaweza kuandika DRC yako mwenyewe, unaweza kuandika zana yako ya kuunda BOM kushughulikia mahitaji maalum ya mtumiaji, kama vile jinsi ya kupata “vifaa vya ziada” (kama vile soketi, radiator, au bisibisi) kwa vifaa ambavyo sio wenyewe sehemu ya hifadhidata ya mchoro wa mzunguko. Au mbuni wa PCB anaweza kuandika kichambuzi cha orodha ya wavuti ya Verilog na kubadilika kwa kutosha katika mazingira ya muundo wa PCB, kama vile jinsi ya kupata mifano ya Verilog au faili za wakati zinazofaa kwa kifaa fulani. Kwa kweli, kwa sababu DRC inapita kwenye mchoro mzima wa mzunguko wa muundo wa PCB, inawezekana kukusanya habari zote halali ili kutoa masimulizi na / au BOM inayohitajika kwa muundo wa uchambuzi wa orodha ya wavuti ya Verilog.

Itakuwa kunyoosha kujadili mada hizi bila kutoa nambari yoyote ya programu, kwa hivyo tutatumia zana ya kurudisha mchoro wa mzunguko kama mfano. Nakala hii hutumia kampuni ya Mentor Graphics kukuza zana ya ViewDraw iliyoambatanishwa na laini ya bidhaa ya PADS-Designer. Kwa kuongezea, tulitumia zana ya ViewBase, ambayo ni maktaba ya kawaida ya C ambayo inaweza kuitwa kupata hifadhidata ya ViewDraw. Na zana ya ViewBase, wabuni wa PCB wanaweza kuandika kwa urahisi zana kamili na bora za DRC za ViewDraw katika C / C. It is important to note that the basic principles discussed here apply to any other PCB schematic tool.

Faili ya kuingiza

Mbali na hifadhidata ya mchoro wa mzunguko, DRC pia inahitaji faili za kuingiza ambazo zinaweza kuelezea hali maalum, kama jina la mtandao halali wa umeme uliounganishwa moja kwa moja na ndege ya umeme. Kwa mfano, ikiwa mtandao wa POWER unaitwa POWER, ndege ya POWER imeunganishwa kiatomati na ndege ya POWER kwa kutumia kifaa cha kifurushi cha nyuma (kama inavyotumika kwa ViewDrawpcbfwd). Ifuatayo ni orodha ya faili za kuingiza ambazo lazima ziwekwe katika eneo lililowekwa la ulimwengu ili DRC iweze kupata na kusoma kiatomati, na kisha uhifadhi habari hii ndani kwa DRC kwa wakati unaofaa.

Alama zingine lazima ziwe na pini za kamba za nguvu za nje kwa sababu hazijaunganishwa na safu ya kamba ya nguvu ya kawaida. Kwa mfano, pini za kifaa cha ECL VCC zinaweza kushikamana na VCC au GROUND; Pini yake ya VEE inaweza kushikamana na GROUND au -5.0V ndege. Kwa kuongeza, pini ya kamba ya nguvu pia inaweza kushikamana na kichujio kabla ya kufikia safu ya kamba ya nguvu.

Pini ya kebo ya umeme kawaida haijaambatanishwa na ishara ya kifaa. Badala yake, mali ya ishara (iitwayo SIGNAL hapa) inaelezea ni pini gani ni pini ya nguvu au ya ardhini na inaelezea jina la mtandao ambalo pini inapaswa kushikamana.

ISHARA = VCC: 10

ISHARA = NCHI: 20

DRC inaweza kusoma mali hii na kuhakikisha kuwa jina la mtandao linahifadhiwa kwenye faili halali_pwr_net_name. Ikiwa jina la mtandao halijumuishwa katika jina halali_pwr_net_name, pini ya umeme haitaunganishwa na ndege ya umeme, ambalo ni shida kubwa.

Faili halali_pwr_net_name Hiari. Faili hii ina majina yote ya mtandao halali ya ishara za POWER, kama vile VCC, V3_3P, na VDD. Katika zana za upangaji / upangaji wa PCB, majina yanahitaji kuwa nyeti kwa kadhia. Kwa ujumla, VCC sio sawa na VCC au VCC. VCC inaweza kuwa usambazaji wa umeme wa 5.0V na V3_3P inaweza kuwa umeme wa 3.3V.

Faili ya kisheria_pwr_net_name ni ya hiari, kwa sababu faili ya usanidi wa kifaa cha kusonga nyuma lazima kawaida iwe na seti ya majina halali ya mtandao wa kebo. Ikiwa CadencePCB inatumiwa kutengeneza zana ya wiring ya Mifumo ya Allegro, jina la faili la PCBFWD ni Allegro.cfg na ina vigezo vifuatavyo vya kuingia:

CHINI: VSS CGND GND GROUND

Power supply: VCC VDD VEE V3_3P V2_5P 5V 12V

Ikiwa DRC ingeweza kusoma faili ya allegro.cfg moja kwa moja badala ya jina la kisheria_pwr_net_name, itapata matokeo bora (yaani nafasi ndogo ya kuanzisha makosa).