Kif tevita żbalji fid-disinn tal-PCB?

I. Stadju tad-dħul tad-dejta

1. Jekk id-dejta riċevuta fil-proċess hijiex kompluta (inkluża dijagramma skematika. Fajl BRD, lista tal-materjal, PCB speċifikazzjoni tad-disinn u l-ħtieġa tad-disinn jew bidla tal-PCB, speċifikazzjoni ta ’standardizzazzjoni u speċifikazzjoni tad-disinn tal-proċess)

ipcb

2. Kun żgur li l-mudell tal-PCB huwa aġġornat

3. Kun żgur li l-komponenti tal-pożizzjonament tal-mudell jinsabu sewwa

4. Id-deskrizzjoni tad-disinn tal-PCB u r-rekwiżiti tad-disinn jew il-bidla tal-PCB, ir-rekwiżiti tal-istandardizzazzjoni huma ċari

5. Kun żgur li l-apparati pprojbiti u ż-żoni tal-wajers fuq id-dijagramma tal-kontorn huma riflessi fuq il-mudell tal-PCB

6. Qabbel it-tpinġija fil-qosor biex tikkonferma li d-dimensjonijiet u t-tolleranzi mmarkati fuq il-PCB huma korretti, u d-definizzjoni ta ‘toqba metallizzata u toqba mhux metallizzata hija preċiża

7. Wara li tikkonferma l-eżattezza tal-mudell tal-PCB, l-aħjar huwa li tissakkar il-fajl tal-istruttura biex tevita li titmexxa b’operat ħażin

It-tieni, wara l-istadju tal-ispezzjoni tat-tqassim

A. Iċċekkja l-komponenti

8. Ikkonferma jekk il-pakketti kollha tal-apparat humiex konsistenti mal-librerija unifikata tal-kumpanija u jekk il-librerija tal-pakketti ġietx aġġornata (iċċekkja r-riżultati tat-tħaddim bil-viewlog). Jekk le, Aġġorna Simboli

9, motherboard u sub-board, board u backboard, kun żgur li s-sinjal huwa korrispondenti, il-pożizzjoni hija korrispondenti, id-direzzjoni tal-konnettur u l-identifikazzjoni tal-iskrin tal-ħarir huma korretti, u s-sub-board għandu miżuri kontra l-inserzjoni ħażina, u l-komponenti fuq is-sub-board u l-motherboard m’għandhomx jinterferixxu

10. Jekk il-komponenti humiex 100% mqiegħda

11. Iftaħ imqabbad mal-post għas-saffi TOP u BOTTOM tal-apparat biex tara jekk id-DRC ikkawżat minn overlap huwiex permess

12. Jekk il-punt Mark huwiex biżżejjed u meħtieġ

13. Komponenti tqal għandhom jitpoġġew viċin il-punt ta ‘appoġġ tal-PCB jew in-naħa ta’ appoġġ biex tnaqqas il-warpage tal-PCB

14. L-aħjar huwa li tissakkar l-apparat relatat mal-istruttura wara li jkunu ġew irranġati sabiex tiġi evitata operazzjoni ħażina milli tiċċaqlaq il-pożizzjoni

15. Fi 5mm madwar is-sokit tal-crimping, in-naħa ta ‘quddiem mhix permessa li jkollha komponenti li l-għoli tagħhom jaqbeż l-għoli tas-socket tal-crimping, u n-naħa ta’ wara mhix permessa li jkollha komponenti jew ġonot tal-istann

16. Ikkonferma jekk it-tqassim tal-apparat jissodisfax ir-rekwiżiti teknoloġiċi (iffoka fuq BGA, PLCC u patch socket)

17, komponenti tal-qoxra tal-metall, jagħtu attenzjoni speċjali biex ma jaħbtux ma ‘komponenti oħra, biex tħalli biżżejjed pożizzjoni ta’ spazju

18. Il-komponenti relatati mal-interface għandhom jitqiegħdu viċin l-interface, u s-sewwieq tax-xarabank tal-backplane għandu jitqiegħed viċin il-konnettur tal-backplane

19. Jekk l-apparat CHIP fuq il-wiċċ tal-issaldjar tal-mewġ inbidilx f’pakkett tal-issaldjar tal-mewġ,

20. Jekk hemmx aktar minn 50 ġonot tal-istann manwali

21. L-immuntar orizzontali għandu jiġi kkunsidrat għall-immuntar assjali ta ‘komponenti ogħla fuq il-PCB. Ħalli spazju għall-irqad. U tikkunsidra l-mod fiss, bħal kuxxinett fiss tal-kristall

22. Kun żgur li hemm spazju suffiċjenti bejn l-apparati li jużaw is-sink tas-sħana u apparati oħra, u agħti attenzjoni għall-għoli tal-apparati prinċipali fil-firxa tas-sink tas-sħana

B. Kontroll tal-funzjoni

23. Jekk it-tqassim taċ-ċirkwit diġitali u l-komponenti taċ-ċirkwit analogu tal-bord ibridu diġitali-analogu kienx separat, u jekk il-fluss tas-sinjal huwiex raġonevoli

24, konvertituri A / D jitqiegħdu fuq ħitan analoġiċi.

25, it-tqassim tal-apparat tal-arloġġ huwa raġonevoli

26. Jekk it-tqassim ta ‘apparat ta’ sinjal b’veloċità għolja huwiex raġonevoli

27, jekk l-apparat terminali ġiex imqiegħed kif suppost (ir-reżistenza tas-serje li taqbel mas-sors għandha titqiegħed fit-tarf tas-sinjal tas-sinjal; Ir-reżistenza tat-tqabbil intermedju tqiegħed fil-pożizzjoni tan-nofs; Ir-reżistenza tas-serje li taqbel mat-terminal għandha titqiegħed fit-tarf li jirċievi s-sinjal)

28. Jekk in-numru u l-post tal-kapaċitaturi ta ‘diżakkoppjament ta’ apparati IC humiex raġonevoli

29. Il-linji tas-sinjali jieħdu pjani ta ‘livelli differenti bħala pjani ta’ referenza. Meta taqsam ir-reġjun diviż bi pjani, jekk il-kapaċitanza ta ‘konnessjoni bejn il-pjani ta’ referenza hijiex viċin ir-reġjun tar-rotta tas-sinjal.

30. Jekk it-tqassim taċ-ċirkwit tal-protezzjoni huwiex raġonevoli u jwassal għal segmentazzjoni

31. Jekk il-fjus tal-provvista tal-enerġija tal-bord hux imqiegħed ħdejn il-konnettur u jekk hemmx komponent taċ-ċirkwit quddiemha

32. Ikkonferma li s-sinjal qawwi u s-sinjal dgħajjef (differenza ta ‘qawwa 30dB) huma rranġati separatament

33. Jekk apparat li jista ‘jaffettwax l-esperimenti EMC jitqiegħedx skond linji gwida tad-disinn jew referenza għal esperjenzi ta’ suċċess. Pereżempju: iċ-ċirkwit tar-reset tal-pannell għandu jkun kemmxejn viċin tal-buttuna tar-reset

C. deni

34, għal komponenti sensittivi għas-sħana (inkluża kapaċitanza medja likwida, vibrazzjoni tal-kristall) kemm jista ‘jkun’ il bogħod minn komponenti ta ‘qawwa għolja, radjatur u sorsi oħra ta’ sħana

35. Jekk it-tqassim jissodisfax ir-rekwiżiti tad-disinn termali u l-kanali tad-dissipazzjoni tas-sħana (skond id-dokumenti tad-disinn tal-proċess)

D. il-poter

36. Iċċekkja jekk il-provvista tal-enerġija IC hijiex ‘il bogħod wisq mill-IC

37. Jekk it-tqassim tal-LDO u taċ-ċirkwit tal-madwar huwiex raġonevoli

38. It-tqassim taċ-ċirkwit madwar il-provvista tal-enerġija tal-modulu huwa raġonevoli

39. It-tqassim ġenerali tal-provvista tal-enerġija huwa raġonevoli

E. Issettjar tar-Regola

40. Iċċekkja jekk ir-restrizzjonijiet kollha ta ‘simulazzjoni ġewx miżjuda b’mod korrett mal-Constraint Manager

41. Ir-regoli fiżiċi u elettriċi huma stabbiliti b’mod korrett (innota restrizzjonijiet stabbiliti għan-netwerk tal-enerġija u għan-netwerk tal-art)

42. Jekk l-ispazjar bejn Test Via u Test Pin huwiex biżżejjed

43. Jekk il-ħxuna tal-laminazzjoni u l-iskema jissodisfawx ir-rekwiżiti tad-disinn u l-ipproċessar

44. Jekk l-impedenza tal-linji differenzjali kollha b’rekwiżiti ta ‘impedenza karatteristika ġietx ikkalkulata u kkontrollata minn regoli