PCB faʻavae malamalama malamalama faʻatomuaga

Lolomiina Circuie Komiti Faatino (PCB) e puʻupuʻu mo Lolomi Komiti Faatonu matagaluega. Masani lava i mea faʻamama, tusa ai ma le faʻavae fuafuaina, faia i lomia lomia, lolomiina vaega poʻo se tuʻufaʻatasia o uma conductive ata valaauina lomia matagaluega. E i ai toetoe lava o masini eletise uma e mafai ona tatou vaʻaia e le mafai ona faia e aunoa ma lea, laʻititi i le uati uati, calculator, lautele komipiuta, i komipiuta, fesoʻotaʻiga mea faʻaeletise, mea tau aupega a le militeri, pe afai lava e i ai tuʻufaʻatasia matagaluega ma isi eletise elemeni, le eletise fesoʻotaʻiga i le va o latou uma manaʻomia le faʻaaogaina PCB.

O le conductive kalafi o le eletise fesoʻotaʻiga i le va o vaega saunia i luga o le insulated substrate ua taʻua lolomi matagaluega. I lenei auala, o le lolomiga matagaluega poʻo lolomi laina o le maeʻa laupapa ua taʻua o lolomi laupapa laupapa, e taʻua foi o le lolomi laupapa poʻo le lolomi laupapa laupapa. E maua ai le lagolago masini mo faʻamaopoopoina potopoto o eseese elemeni elemeni pei o tuʻufaʻatasia vaʻaia, iloa faʻapipiʻiina ma eletise fesoʻotaʻiga poʻo eletise faʻaʻesega i le va o eletise eletise pei o tuʻufaʻatasia matagaluega, ma maua ai manaʻomia eletise uiga, pei o faʻafitauli iloga, ma isi. I le taimi e tasi e tuʻuina atu otometi poloka poloka poloka; Tuʻuina mai faʻailoga tagata ma ata mo vaega faapipiiina, asiasiga ma tausiga.

ipcb

Faʻafefea ona faia PCBS? A matou tatalaina le limamatua drive o se lautele-mafuaʻaga komipiuta, e mafai ona tatou vaʻaia se ata vaivai (fetuutuunai faʻaʻesega substrate) lomia ma siliva-paʻepaʻe (siliva faapipii) conductive ata ma ono ono maua ata. Ona o le lautele lau laupepa auala e maua ai lenei kalafi, o lea matou te valaʻau ai lenei lolomi laupapa matagaluega fetuutuunai faapipii faapipii lolomi laupapa laupapa. Eseese mai tinā, pepa faʻafanua, network cards, modem, sound cards ma lolomi laupapa matagaluega i mea tau fale tatou te vaʻai ai ile Computer City. O le faavae mea e faʻaaogaina e faia i le pepa faavae (masani ona faʻaaoga mo le tasi itu) poʻo tioata ie faavae (e masani ona faʻaaoga mo lua-itu ma tele-vaega), muaʻi faʻatupuina phenolic poʻo epoxy resin, tasi poʻo itu uma e lua o le laualuga glued ma tusi apamemea ma laminated faʻamaloloina. Lenei ituaiga o matagaluega laupapa ufiufi laupapa tusi apamemea, matou taʻua o laupapa maumaʻa. Ona matou faia lea o se lolomi laupapa matagaluega, matou taʻua o se maʻaʻa lolomi laupapa matagaluega. O se lolomi laupapa matagaluega ma lolomiga matagaluega vaaiga i le tasi itu ua taʻua o le tasi itu itu lolomi laupapa matagaluega, ma o se lolomi laupapa matagaluega ma lolomiina matagaluega ata i itu uma e fesoʻotaʻi i itu uma e ala i le metallization o pu, ma tatou taʻua o se lua -panel Afai o le faʻaaogaina o faʻalua laina, lua auala e tasi mo pito i fafo poʻo le lua faʻalua laina, lua poloka o le tasi vaega i fafo atu o le lolomi laupapa matagaluega, e ala i le faʻatulagaina faiga ma isi mea faʻapipiʻi faʻapipiʻi mea pipiʻi ma conductive vavalalata fesoʻotaʻiga e tusa ma le manaʻoga manaʻoga o lolomiga matagaluega laupapa avea fa, ono vaega lolomi laupapa matagaluega, lauiloa foi o multilayer lolomi laupapa matagaluega. Ua sili atu nei i le 100 faaputuga o laupapa lolomi lolomi aoga.

O le gaosiga gaioiga o le PCB e fai lava sina faigata, lea e aofia ai le tele o ituaiga gaioiga, mai le faigofie masini gaosiina i le faigata laʻasaga o masini, e aofia ai le masani ai vailaʻau, photochemistry, electrochemistry, thermochemistry ma isi gaioiga, komipiuta fesoasoani fesoasoani (CAM) ma isi malamalama . Ma i le faʻagasologa o le gaosiga o gaioiga faʻafitauli ma o le a fetaiaʻi pea ma ni faʻafitauli fou ma ni isi faʻafitauli i le le iloaina le mafuaʻaga mou atu, aua o lona gaosiga gaioiga o se ituaiga o laina faʻaauau laina, soʻo se soʻoga sese na mafua ai gaosiga i luga o le laupapa poʻo le taunuuga o le tele numera o fasi, lolomi laupapa matagaluega peʻa leai se toe gaosia toega, Faʻagasologa inisinia mafai ona faʻatiga, o le tele o inisinia tuʻua le alamanuia e galulue i faʻatauga ma faʻatekinolosi tautua mo PCB masini poʻo mea kamupani.

Ina ia mafai ona malamalama atili i le PCB, e tatau ona malamalama i le gaosiga gaioiga masani masani tasi-itu, lua-itu lolomi laupapa matagaluega ma masani multilayer laupapa, e faʻalolotoina ai le malamalamaaga o ia.

Lisi itu itu laupapa lolomi maumaututū: – tasi le ‘apamemea ofu – avanoa i le olo, mago), viliina poʻo le tuʻiina -> sikuea laina lolomiina faʻataʻitaʻia le faʻaaogaina o le faʻaaogaina o ata tifaga teteʻe atu i le faʻamamaina siaki faʻaleleia ipu, apamemea etching ma mago e teteʻe ai lolomiga mea, e olo, mago, puipui lolomilo teteʻe uelo ata (masani ona faʻaaogaina le suauʻu lanumeamata), UV faʻamalolo i le tagata makaina o kalafi ata lolomi, UV faʻamalolo, preheating, punching, ma le foliga – eletise matala ma puʻega matagaluega suʻega – olo, faʻamago → muamua-ufiufi ueloina aneti-oxidant (mago) pe apa-spraying vevela vevela faalaugatasi → asiasiga afifi → maeʻa oloa fale gaosi.

Lolomi itu laupapa lolomi malo: – laupapa lua-apamemea-faʻaʻofuina laupapa – avanoa (laminated) (ata matutu / ata tifaga, faʻaaliga ma atinaʻe) – asiasia ma lipea le ipu – laina ata plating ma electroplating apa (corrosion tetee o nickel / auro) -> e lolomi mea (ufiufi) – apamemea apamemea – (annealing apa ) e fufulu mamā, masani ona faʻaaogaina ata faʻataʻitaʻiga le faʻafetauiina o le faʻamamaina o le vevela o le faʻamamaina o le suauʻu lanumeamata (ata matala ata tifaga poʻo le ata tifaga, faʻaalialia, atinaʻeina ma le faʻamaloloina o le vevela, e masani ona vevela faʻamalolo photosensitive green oil) ma faʻamamago mamago, e siaki ai le lolomiina faʻailoga tagata, faʻamamaina , (apa poʻo vailaʻau puipuia uʻamea) e fausia ai gaioiga, faʻamamaina, faʻamago i le eletise on-off suʻega, afifiina ma maeʻa oloa.

E ala i pu metallization metotia o le gaosiaina o le multilayer gaioiga tafe i le pito i totonu vaega ‘apamemea ofu faʻalua-itu tipiina, olo e faʻavasega tulaga pu, pipiʻi i le mago-ufiufi poʻo le ufiufi e teteʻe atu ai i le faʻaalialia, atinaʻe ma etching ma ata tifaga – totonu totonu coarsening ma oxidation – siaki i totonu – (laina i fafo atu gaosia o le tasi itu itu laminates ‘uʻamea apamemea, fusia pepa, ipu faʻamau pepa B – okaina oka, viliina pu pu) e laminate, tele pulea viliina -> Faʻaputuga ma siaki ae le i faia togafitiga ma vailaʻau apamemea plating – laupapa atoa ma manifinifi apamemea plating apamemea asiasiga – pipii i le teteʻe atu i le mago ata faʻamoeina poʻo le ufiufi i plating sooupu e peleue lalo faʻalauiloaina, atinaʻe ma lipea le ipu – laina ata electroplating – poʻo nikel / auro ufiufi ma electroplating apa taitaiina uʻamea filogia i ata tifaga ma le etching – siaki – lau lolomi tetee uʻamea vaʻaia po o malamalama faʻaoso tetee teteʻe ufiufi ata – lolomi foliga ata – (vevela ea faalaugatasia po o vailaʻau puipuia ufiufi) ma numera pulea Fufulu foliga → faʻamamaina, faʻamago → eletise fesoʻotaʻiga mauaina → maeʻa oloa asiasiga → teuina falegaosimea.

E mafai ona vaʻaia mai le siata faʻagasologa alualu i luma o le multilayer gaioiga e atiaʻe mai le lua-foliga metallization faʻagasologa. I le faʻaopopoga i le lua-itu gaioiga, o loʻo i ai ni tulaga tulaga ese mataupu: metallized pu totonu fesoʻotaʻiga, viliina ma epoxy decontamination, tulaga faʻatulagaina, lamination, ma faʻapitoa mea.

O la matou komipiuta komiti komiti o le masani lava epoxy tioata ie lua-itu lomia laupapa matagaluega lolomi, lea e tasi le itu ua faʻaofi vaega ma le isi itu o le vaega vae uʻamea luga, mafai ona vaʻaia o le soʻoga soʻoga e masani lava, o le vae vae vaevae faʻapitoa. luga o nei solder sooga tatou taʻua o le pad. Aisea e le i ai isi apa uaea apamemea i latou? Aua i le faʻaopopoina i le solder ipu ma isi vaega o le manaʻoga mo soldering, o le vaega o totoe o le pito i luga o loʻo i ai le vaega o galu tetee maopoopo ata tifaga. O lona lauʻeleʻele solder ata tifaga e tele lanumeamata, ma ni nai faʻaaogaina samasama, uliuli, lanumoana, ma isi, o lea o le solder suauʻu e masani ona taʻua o le lanumeamata lanumeamata i le PCB pisinisi. O lana gaioiga o le puipuia o galu fauina alalaupapa foliga vaaia, faʻaleleia le faʻalelei uʻamea ma sefe solder ma isi. O se tumau puipuia vaega o le lolomi laupapa, mafai ona taʻalo i le matafaioi o le susu, palapala, agamalu ma masini abrasion. Mai fafo, o le pito i luga e lamolemole ma susulu lanumeamata poloka poloka, lea e malamalama i le ata tifaga ipu ma le vevela faʻamalolo suauʻu lanumeamata. E le gata o le foliga mai e sili atu, e taua foʻi o le pad sao e maualuga, ina ia faʻaleleia atili ai le faʻatuatuaina o le soʻoga soʻoga.

E pei ona mafai ona tatou vaʻai mai le laupapa komipiuta, o vaega ua faʻapipiʻiina i auala e tolu. O se plug-in faapipiiina gaioiga mo le auina atu lea o se eletise vaega ua tuu i totonu o se ala-pu i luga o se lolomi laupapa matagaluega. E faigofie ona iloa o le lua-itu lomia laupapa matagaluega lolomi ala i pu e pei ona taʻua i lalo: tasi o se faigofie vaega faʻaaogaina pu; O le lona lua o le vaega faʻaofiina ma faʻalua-itu fesoʻotaʻiga ala i pu; Tolu o se faigofie faʻalua-itu i le pu; Fa o le pito i lalo ipu faapipiiina ma tulaga pu. O isi metotia faʻapipiʻi e lua o le faʻapipiʻi luga ma faʻamau faʻamau saʻo. O le mea moni, malamala faʻapipiʻi faʻapipiʻi tekonolosi mafai ona mafaufauina o se paranesi o luga faʻavasegaina tekonolosi, o le malamala tuʻu faʻapipiʻiina i le lolomi laupapa, ona fesoʻotaʻi lea i le lolomi laupapa e ala uaea metotia metotia poʻo fusi utaina metotia, seevae auala, utupoto taʻimua metotia ma isi afifiina tekonolosi. O le uelo luga o luga o le vaega luga.

Luga tiʻetiʻe tekinolosi maua le taua lelei:

1) Ona o le lolomi laupapa tele faʻateʻaina le lapoʻa ala i le pu po o le tanu pu fesoʻotaʻiga tekonolosi, faʻaleleia le uaea density luga o le lolomi laupapa, faʻaititia le lolomi laupapa eria (masani tasi le tasi vaetolu o le plug-in faʻapipiʻiina), ma mafai foi faʻaititia le numera o vaega faʻavae ma tau o le lolomi laupapa.

2) Faʻaititia le mamafa, faʻaleleia seismic faatinoga, o le faʻaaogaina o colloidal solder ma fou uʻamea tekonolosi, faʻaleleia le lelei oloa ma faʻatuatuaina.

3) Ona o le faʻateleina o uaea density ma le faʻapuupuuina o le umi taitai, o le parasite capacitance ma parasite inductance ua faʻaititia, lea e sili atu agavaʻa i le faʻaleleia o le eletise tapulaʻa o le lolomi laupapa.

4) E faigofie atu ona iloa le otometi nai lo le plug-in faʻapipiʻiina, faʻalelei le faʻapipiʻiina o le saoasaoa ma le galueaina o tagata faigaluega, ma faʻaititia le faʻaputuputuina o le tau e tusa ai.

E pei ona mafai ona vaaia mai luga luga saogalemu tekonolosi, o le faʻaleleia o le matagaluega laupapa tekonolosi ua faʻaleleia atili ma le faʻaleleia o malamala afifiina tekonolosi ma luga mounting tekonolosi. O le komipiuta laupapa ua tatou vaʻaia nei pepa lona lauʻeleʻele faʻapipiʻi fua e faʻaalu lemu. O le mea moni, o lenei ituaiga o matagaluega laupapa toe faʻaaoga faʻasalalau vailiili laina lolomi ata e le mafai ona faʻamalieina le poto faapitoa manaʻoga. O le mea lea, o le masani maualuga maualuga matagaluega laupapa, o lona laina ata ma uʻamea ata e masani maaleale matagaluega ma maaleale suauʻu gaioiga gaosiga gaioiga.

O loʻo iai pea le tele o le poto faʻapitoa e uiga i laupapa lolomi lolomi, ma le agaʻi atu i luma ole maualuga maualuga, o le a tele ma tele atu fou tekonolosi. Lenei ua na o se faigofie faʻafeiloaʻiga, ou te faʻamoemoe e mafai ona avatu ni fesoasoani i uo fiafia i PCB tekinolosi.