Kiyi yekugadzira maitiro ekudzora eHigh Level pcb board

Kiyi yekugadzira maitiro maitiro ekudzora kwePamusoro Chikamu PCB bhodhi

Iyo yepamusoro-simudza redunhu bhodhi inowanzo tsanangurwa seyakakwira-simuka mizhinji-yakatenderera wedunhu bhodhi ine 10-20 pasi kana zvimwe, izvo zvinonyanya kuomarara kuita kupfuura echinyakare. multi-rukoko redunhu bhodhi uye ine mhando yepamusoro uye yakavimbika zvinodiwa. Inonyanya kushandiswa mumidziyo yekutaurirana, yepamusoro-kumagumo server, zvekurapa zvemagetsi, zvekubhururuka, kwemaindasitiri kutonga, kwemauto uye mamwe minda. Mumakore achangopfuura, kudiwa kwemusika kwemabhodhi akakwira-muminda yekutaurirana kwekushandisa, chiteshi chechiteshi, avhiyesheni nemauto achiri akasimba. With kukurumidza kukura China kuti Telecom michina musika, musika tarisiro mukuru-simuka mabhodhi iri kuvimbisa.

Parizvino, Pcb mugadziris iyo inogona kuwanda kuburitsa yakakwira-kusimuka pcb muChina kunyanya inouya kubva kune vekunze-vanobhadharwa mari mabhizinesi kana mashoma emabhizinesi emumba. Kugadzirwa kwepamusoro-kukwira PCB hakudi chete tekinoroji yepamusoro uye zvigadzirwa zvemari, asi zvakare chiitiko kuunganidzwa kwevanogadzira uye vashandi vekugadzira. Panguva imwecheteyo, mutengi chitupa nzira dzekunze kwepamusoro-kusimuka pcb dzakaomarara uye dzakaomarara. Naizvozvo, chikumbaridzo chepamusoro-simuka pcb yekupinda bhizinesi yakakwira uye iyo yekugadzira indasitiri yekugadzira iri refu. Ivhareji nhamba yezvikamu zvePCB yakave yakakosha indekisi yekuyera tekinoroji uye chigadzirwa chemabhizinesi ePBB. Iri bepa rinotsanangura muchidimbu mainini ekugadzirisa matambudziko akasangana nawo mukugadzirwa kwepamusoro-pcb pcb, uye inosuma makiyi ekudzora eakakosha maitiro ekugadzira epamusoro-kukwira pcb kune yako mareferenzi.

1, Main kugadzirwa matambudziko

Inofananidzwa nehunhu hweyakajairwa wedunhu bhodhi zvigadzirwa, yakakwira-simuka wedunhu bhodhi ine hunhu hweakakora mabhodhi, mamwe matete, mitsetse yakaoma uye vias, hombe unit saizi, yakatetepa dielectric dura, uye zvakanyanya kuomarara zvinoda zvemukati nzvimbo, interlayer kuenderana, impedance kudzora uye kuvimbika.

1.1 matambudziko mukuenderana kwakabatana

Nekuda kwenhamba yakakura yepamusoro-simuka mabhodhi akaturikidzana, mutengi dhizaini magumo ane zvinowedzera zvakanyanya kuomarara pane kuenderana kwePCB akaturikidzana, uye kuenderana kushivirira pakati pezvikamu zvinowanzo kudzorwa kusvika ± 75 μ m. Tichifunga nezve hombe unit size dhizaini yepamusoro-simuka bhodhi, iyo ambient tembiricha uye hunyoro hwegrafiti yekuchinjisa musangano, iyo dislocation superposition uye interlayer yekumisikidza modhi inokonzerwa nekusaenderana kuwedzerana uye kudzvinyirira kweakasiyana epakati bhodhi akaturikidzana. kuenderana kwepamusoro-simuka bhodhi.

1.2 matambudziko mukugadzira wedunhu remukati

Iyo yepamusoro-simuka bhodhi inotora yakakosha michina senge yakakwira Tg, kumhanyisa kumhanya, yakakwira frequency, gobvu mhangura uye yakatetepa dielectric layer, iyo inoisa kumberi kwakakwirira zvinodikanwa zvekunyepedzera uye graphic saizi kudzora kwedunhu remukati, sekuvimbika kweiyo impedance chiratidzo hutachiwana, izvo zvinowedzera kuoma kwekunyepedzera kwemukati wedunhu. Hupamhi hwemutsara uye nzvimbo yekusiyanisa zvidiki, maseketi akavhurika uye mapfupi anowedzera, iyo micro ipfupi inowedzera, uye chiyero chekodhi chakaderera; Kune akawanda masaisai emitsara yemitsetse yakanaka, uye mukana wekushayikwa kweAOI munzvimbo yemukati inowedzera; Iyo yemukati yakakosha ndiro yakatetepa, yakapetwa zvakapetwa, zvichikonzera kushomeka kwakashata, uye zviri nyore kumonera mushure mekucheka; Mazhinji emabhodhi ekumusoro-soro mabhodhi ehurongwa ane hukuru hukuru hweyuniti, uye mutengo wekubvisa zvigadzirwa zvakapedzwa wakareba.

1.3 kudzvanya zvigadzirwa zvekugadzira

Kana akawanda epakati epakati mahwendefa uye masemi akarapwa machira akaiswa pamusoro, hurema hwakadai sekutsvedza ndiro, delamination, resin cavity uye bubble masara zviri nyore kuitika mukugadzira crimping. Paunenge uchigadzira iyo yakarongedzwa chimiro, zvinodikanwa kuti unyatso kufunga nezve kupisa kupisa, kusagadzikana kwemagetsi, gundi yekuzadza huwandu uye wepakati ukobvu hwechinhu, uye kuseta inonzwisisika yakakwira-inomuka ndiro yekutsikirira chirongwa. Kune akawanda akaturikidzana, uye kudzora kwekuwedzera uye kudzvinyirira uye muripo wehukuru coefficient haugone kuenderana; Iyo interlayer kuputira nhete yakatetepa, iri nyore kutungamira kukundikana kweyekudyidzana kwekuvimbika bvunzo. Muonde.

Fig.1

1.4 kuchera matambudziko

Iko kushandiswa kweiyo yepamusoro Tg, kumhanya kwakanyanya, kukwirira kwakawanda uye gobvu remhangura mahwendefa akakosha kunowedzera kuoma kwekuboora roughness, kuchera burr uye kuchera kubviswa kwevhu. Kune akaturikidzana mazhinji, huwandu hwesimbi yemhangura neplate ukobvu zvakaunganidzwa, uye chishandiso chekuchera chiri nyore kutyora; Kukundikana kweCaf kunokonzerwa nehurefu BGA uye yakatetepa gomba pamadziro nzvimbo; Nekuda kwehuremu hweplate, zviri nyore kukonzera dambudziko reoblique kuchera.

2, Key kugadzirwa muitiro kuzvidzora

2.1 kusarudzwa kwezvinhu

Nekuvandudzwa kwezvinhu zvemagetsi munzira yekumusoro-kuita uye yakawanda-basa, zvakare inounza yakakwira-frequency uye yakakwira-yekumhanyisa chiratidzo kufambisa. Naizvozvo, zvinotarisirwa kuti dielectric inogara iripo uye dielectric kurasikirwa kwemagetsi wedunhu zvinhu zvakadzikira, pamwe neiyo yakaderera CTE, kunwa kwemvura yakadzika uye zvirinani kuita kwepamusoro-kwekuita mhangura yakapfekedzwa laminate zvigadzirwa, kuitira kusangana nekugadziriswa uye kuvimbika zvinodiwa zvepamusoro -simuka mabhodhi. Kazhinji ndiro vatengesi vanonyanya kusanganisira akateedzana, B akateedzana, C akateedzana uye D akateedzana. Ona Tafura 1 yekuenzanisa kweakakosha maitiro eaya mana epasi substrates. Kune yakakwira-kumuka gobvu remhangura redunhu bhodhi, iyo semi yakaporeswa jira ine yakakwira resin yezvinyorwa inosarudzwa. Iyo glue yekuyerera huwandu hweiyo yepakati denderedzwa semi yakaporeswa jira inokwana kuzadza yemukati dura giraidhi. Kana iyo yekudzivirira yepakati yakatetepa yakanyanyisa, iro rakapedzwa bhodhi iri nyore kuve rakanyanya gobvu. Pane zvinopesana, kana iyo insulating yepakati layer yakatetepa zvakanyanya, zviri nyore kukonzera matambudziko emhando senge yepakati stratification uye yakakwira-voltage bvunzo kutadza. Naizvozvo, kusarudzwa kwekuisa midziyo yepakati kwakakosha.

2.2 dhizaini yezvakaomeswa chimiro

Izvo zvakakosha zvinhu zvinofungidzirwa mukugadzirwa kweyakaomeswa mamiriro ndeye kupisa kupisa, kusagadzikana kwemagetsi, gundi yekuzadza huwandu uye dielectric layer ukobvu hwechinhu, uye zvinotevera zviga zvinotevera zvinoteedzerwa.

(1) Iyo inogadzira semi yakaporeswa jira uye yepakati bhodhi inofanirwa kuenderana. Kuti uve nechokwadi chekuvimbika kwePCB, imwechete 1080 kana 106 semi yakaporeswa jira haifanirwe kushandiswa pamatanho ese ehafu yakaporeswa jira (kunze kwekunge mutengi aine zvakakosha zvinodiwa). Kana mutengi asina chepakati ukobvu zvinodiwa, iyo yepakati ukobvu pakati pezvikamu inofanirwa kuvimbiswa kuve ≥ 0.09mm zvinoenderana ipc-a-600g.

(2) Kana vatengi vachida yakakwira Tg bhodhi, yepakati bhodhi uye semi yakaporeswa jira inoshandisa inoenderana yakakwira maTg zvinhu.

(3) Kune yemukati substrate 3oz kana pamusoro, sarudza iyo semi yakaporeswa pepa ine yakakwira resin yezvinhu, senge 1080r / C65%, 1080hr / C 68%, 106R / C 73%, 106hr / C76%; Nekudaro, iyo dhizaini dhizaini yese 106 yakakwira glue semi yakaporeswa machira inofanirwa kudzivirirwa kusvika pazvinokwanisika kudzivirira kuwanda kwemazhinji e106 semi akaporeswa machira. Nekuti iyo girazi fiber furu yakatetepa, iyo girazi fiber furu inodonha munzvimbo hombe substrate nzvimbo, iyo inokanganisa kuenzana kwekugadzikana uye ndiro kuputika delamination.

(4) Kana mutengi asina chakakosha chinodiwa, iyo hukobvu shiviriro yeanopindirana dielectric layer inowanzo kudzorwa ne + / – 10%. Kune impedance ndiro, iyo dielectric ukobvu shiviriro inodzorwa ne ipc-4101 C / M shiviriro. Kana iyo impedance inokonzeresa chinhu ichienderana neiyo substrate ukobvu, iyo ndiro kushivirira inofanirawo kudzorwa ne ipc-4101 C / M shiviriro.

2.3 interlayer kuenderana kudzora

Kune iyo chaiyo yemukati wepakati bhodhi saizi muripo uye kugadzirwa kwehukuru kudzora, zvinodikanwa kuti ubhadhare nenzira yakajeka saizi yakajeka yemhando yega yega yepamusoro-kukwira bhodhi kuburikidza nedatha uye nhoroondo dhata ruzivo rwakatorwa mukugadzirwa kweimwe nguva kuona kuenderana kwe kuwedzera uye kudzvinyirira kwega kwega rukoko bhodhi repakati. Sarudza yakakwira-chaiyo uye yakavimbika interlayer mamiriro ekumisikidza usati wadzvanya, senge pini Lam, inopisa-melt uye rivet mubatanidzwa. Kugadza yakakodzera yekutsikirira maitiro maitiro uye zuva nezuva kugadzirisa iyo yekudhinda ndiyo kiyi yekuvimbisa iyo yekumanikidza mhando, kudzora iyo yekutsikirira glue uye kutonhora mhedzisiro, uye kudzikisira dambudziko rekupindirana kwevatambi. Kudzora kwekuenzanirana kwevatambi kunoda kunyatsotariswa kubva pazvinhu zvakaita senge remukati muripo kukosha, kudzvanya yekumisikidza modhi, kudzvanya maitiro parameter, zvinhu zvemhando uye zvichingodaro.

2.4 yemukati mutsara maitiro

Nekuti iyo yekugona kugona kweiyo yechinyakare yekuburitsa muchina iri pasi pe50 μ M. yekugadzirwa kwepamusoro-simuka mahwendefa, laser yakanangana nemufananidzo (LDI) inogona kuunzwa kuvandudza giraidhi yekuongorora kugona, iyo inogona kusvika makumi maviri μ M kana zvakadaro. Iko kurongeka kwakaringana kwechinyakare kuratidzwa muchina iri ± 20 μ m. Iyo yekumira yekumira yekumira kurongeka yakakura kupfuura makumi mashanu μ m. Uchishandisa yakakwira-chaiyo yekumisikidza yekuratidzira muchina, iyo grafiti yekumisikidza kurongeka inogona kuvandudzwa kusvika ku25 μ M, interlayer alignment kunyatso kudzora 50 μ M, iyo inoderedza kuenderana kutsauka kwetsika michina uye inovandudza iyo interlayer kuenderana kururamisa kwepamusoro-simuka rakanyorwa.

Kuti uvandudze kugona kwetambo yemutsara, zvinodikanwa kupa muripo wakakodzera wehupamhi hwetambo nepad (kana rin’i yekunamatira) mune dhizaini dhizaini, pamwe nekuwedzera kwakadzama dhizaini yekufungisisa kweiyo muripo huwandu weakasarudzika. mifananidzo, senge yekudzosa mutsara uye yakazvimirira tambo. Simbisa kana dhizaini yekugadzira yemukati mutsetse upamhi, mutsetse daro, yakazvimiririra ringi saizi, yakazvimirira tambo uye gomba kune tambo kure inonzwisisika, zvikasadaro chinja dhizaini dhizaini. Iko kune impedance uye inductive kugadzirisa kuita dhizaini. Teerera kune kana dhizaini dhizaini yekuzvimirira tambo uye impedance tambo yakakwana. Chengetedza ma parameter panguva yekucheka. Kugadzirwa kwebatch kunogona kuitiswa chete mushure mekunge chidimbu chekutanga chasimbiswa kuve chakakodzera. Kuti uderedze etching yemukati ngura, zvinodikanwa kudzora makemikari ekuumbwa kweboka rega rega etching mhinduro mukati meyakanakisa renji. Iyo yechinyakare etching mutsara michina haina kukwana etching chinzvimbo. Iyo michina inogona kushandurwa nehunyanzvi kana kuunzwa mune yakakwira-chaiyo yekucheka mutsetse michina yekuvandudza iyo etching yakafanana uye kudzikisira matambudziko senge akaomarara kumucheto uye isina kuchena etching.

2.5 kudzvanya maitiro

Parizvino, iyo yekumisikidza nzira yekumisikidza nzira usati wadzvanya kunyanya inosanganisira: pini Lam, inopisa inyauka, rivet, uye musanganiswa wekupisa unyauka uye rivet. Nzira dzakasiyana dzekumisikidza dzinogamuchirwa kune akasiyana zvigadzirwa zvigadzirwa. Nezve yakakwira-kusimuka slab, ina slot nzvimbo yekumisikidza (pini Lam) kana fusion + riveting nzira ichashandiswa. Iyo ope yekurova muchina ichabaya nzvimbo yekumisikidza, uye iko kurova kwakaringana kunodzorwa mukati me ± 25 μ m. Munguva yekubatanidza, X-ray ichashandiswa kutarisa kutsauka kweyekutanga yeplate yekutanga inogadzirwa nemuchina unogadzirisa, uye batch inogona kuitwa chete mushure mekunge kutsauka kweyekugona kuve kwakakodzera. Munguva yekugadzirwa kwebatch, zvakafanira kutarisa kuti ndiro yega yega yanyungudika here muchikamu chekudzivirira inotevera delamination. Iyo yekutsikirira michina inotora yakakwira-yekuita inotsigira yekudhinda kuti isangane iyo yepakati denderedzwa kuenderana kunyatso uye kuvimbika kwepamusoro-simuka mahwendefa.

Zvinoenderana neyakaomeswa dhizaini yeepamusoro-soro bhodhi uye zvinhu zvinoshandiswa, dzidza nzira yekutsikirira yakakodzera, isa yakanyanya kunaka tembiricha yekukwira uye curve, zvakakodzera kudzikisa tembiricha yekukwira kwenzvimbo yakamanikidzwa bhodhi mune yakajairwa akawanda-maseru wedunhu bhodhi kudzvanya maitiro, kurebesa iyo yepamusoro-tembiricha kurapa nguva, ita iyo resini izere nekuyerera uye kusimbisa, uye dzivisa matambudziko senge anotsvedza ndiro uye interlayer dislocation mukutsikirira maitiro. Mahwendefa ane akasiyana TG kukosha haakwanise kuva akafanana neabati mahwendefa; Mahwendefa ane akajairwa parameter haagoni kusanganiswa nemahwendefa ane akakosha parameter; Kuti uve nechokwadi chehurongwa hwekuwedzera kwakapihwa uye chidimbu chakaringana, zvivakwa zvemaplate akasiyana uye mashitsi akapora machira akasiyana, saka ndiro inoenderana semi yakaporeswa pepa parameter inoda kumanikidzwa, uye maitiro eparamende anofanirwa kuverengerwa kune zvakakosha zvinhu zvine harina kumboshandiswa.

2.6 kuchera maitiro

Nekuda kwekukora kweplate uye mhangura yemhangura inokonzerwa nehukuru hwega roga roga, chibooreso chakasakara zvakanyanya uye zviri nyore kupaza chibooreso. Kuwanda kwemakomba, kudonha kumhanya uye kutenderera kumhanya kunoderedzwa nenzira kwayo. Zvakaringana kuyera kuwedzera uye kudzvinyirira kweplate kuti ipe yakaringana coefficient; Kana uwandu akaturikidzana ≥ 14, mugomba dhayamita ≤ 0.2mm kana daro kubva mugomba kuti mutsetse ≤ 0.175mm, kuchera rig pamwe mugomba nzvimbo kunyatsoita ≤ 0.025mm rinofanira kushandiswa kugadzirwa; dhayamita φ Iyo buri dhayamita pamusoro pe4.0mm inotora nhanho-nhanho yekuchera, uye ukobvu hwehupamhi reshiyo iri 12: 1. Iyo inogadzirwa nhanho-nhanho kuchera uye yakanaka uye yakaipa kuchera; Kudzora burr uye mugomba ukobvu ari kuchera. Iyo yakakwira-simudza rakadzika rinocherwa nebanga idzva rekuboora kana yekugaya chibooreso kusvika pazvinogoneka, uye mukobvu wegomba unodzorwa mukati me25um. Kuti uvandudze kuchera burr dambudziko rakakwirira-simuka gobvu yendarira ndiro, kuburikidza batch mangororo ongororo, kushandiswa kwepamusoro-arambe achirema kutsigira ndiro, huwandu hwakamisikidzwa mahwendefa ndeimwe, uye nguva yekukuya yekuboora ikadzorwa mukati mekatatu, izvo zvinogona zvinobudirira kuvandudza kuchera burr

Yepamusoro-soro bhodhi yakashandiswa pa yakakwirira-frequency, kumhanya-mhanya uye kwakakura kutapurirana kwedatha, tekinoroji yekudzosera kumashure inzira inoshanda yekuvandudza kutendeka kwechiratidzo. Iko kushure kwekuchera kunonyanya kudzora iyo yakasara stub kureba, gomba chinzvimbo kusagadzikana kwezviviri zvibhorani netambo yemhangura mugomba. Haasi ese michina yekudhiza muchina ine musana wekuchera basa, saka zvinodikanwa kukwidziridza michina yemuchina (ine musana wekuchera basa) kana kutenga muchina wekuchera une musana wekuchera basa. Iyo yekumashure yekuchera tekinoroji yakashandiswa kubva kumabhizimusi ane hukama mabhuku uye akakura kugadzirwa kwemazhinji kazhinji inosanganisira: echinyakare kudzora kudzora kumashure nzira yekuchera, kumashure kudhiraina nemasaini mhinduro dura mune yemukati dura, uye kuverenga kwakadzika kudzoka kumashure kuchera maererano nechikamu cheplate ukobvu. Hazvizodzokororwa pano.

3, Kuvimbika bvunzo

Iyo yepamusoro-yekukwira bhodhi inowanzo iri system ndiro, ino mukobvu uye inorema kupfuura yakajairwa yakawanda-yakaturikidzana ndiro, ine hombe yuniti saizi, uye inoenderana kupisa kugona zvakare kwakakura. Munguva yekumonera, kunowedzera kupisa kunodikanwa uye iyo yekutsisa yakanyanya tembiricha nguva yakareba. Pane 217 ℃ (nzvimbo yakanyunguduka yetini yesirivha yemhangura), zvinotora masekondi makumi mashanu kusvika kumasekondi makumi mapfumbamwe. Panguva imwecheteyo, iyo yekumhanyisa kumhanya kwepamusoro-simuka ndiro inononoka, saka iyo nguva yekuyedza bvunzo inowedzera. Yakasanganiswa ne ipc-50c, IPC-TM-90 zviyero uye zvinodiwa mumaindasitiri, bvunzo huru yekuvimbika yepamusoro-simuka bhodhi inoitwa.