Key gaosiga gaioiga faʻatonutonu mo maualuga tulaga matagaluega laupapa

O le tulaga maualuga PCB e masani faʻamatalaina o le 10 faaputuga – 20 faaputuga pe sili atu o le maualuga tele-vaega laupapa matagaluega. E sili atu le faigata ona faʻagaioia nai lo le masani-tele-vaega matagaluega laupapa, ma lona lelei ma le faʻatuatuaina manaʻoga e maualuga. E masani ona faʻaaogaina i mea tau fesoʻotaʻiga, tautua maualuluga, vailaʻau, vailaʻau, vaʻalele, pulega faʻamiliteli ma isi matata. I tausaga talu ai nei, o le manaʻoga o le maualuga-tulaʻi maketi laupapa i faʻaogaina fesoʻotaʻiga, nofoaga faʻavae, vaʻalele, militeri ma isi fanua o loʻo malosi pea, ma le televave atinae o Saina telecom maketi meafaigaluega, o le manatu o le maualuga-tula laupapa maketi o folafola .
I le taimi nei, o le tele-fua gaosiga o maualuga-tulaga PCB gaosi oloa i Saina tele lava sau mai fafo-faʻatupeina pisinisi poʻo se laʻititi numera o pisinisi a le atunuʻu. O le gaosiga o le maualuga-tulaga matagaluega laupapa e le gata manaʻomia maualuga tekonolosi ma meafaigaluega inivesi, ae manaʻomia foi le faʻaputuputuina o le poto masani o tagata tomai faapitoa ma tagata faigaluega gaosiga. I le taimi lava lea e tasi, o le faʻaulufaleina mai o laupapa maualuluga tusi pasi tagata faʻamaonia tulafono e faigata ma faigata, o lea o le maualuga-tulaga matagaluega laupapa ulufale atu i le atinaʻe ma se maualuga maualuga faitotoʻa, ma o le faʻatulagaina gaosiga gaosiga e umi. O le averesi numera o PCB faaputuga ua avea ma taua faʻatekinolosi faasino igoa e fua ai le tulaga faʻatekonolosi ma oloa faʻavae o PCB pisinisi. Lenei pepa faʻamatalaina puʻupuʻu faigata faʻagaioiga faigata fetaiaʻi i le gaosiga o maualuga-tulaga matagaluega laupapa, ma faʻalauiloa atu ki autu faʻatonutonuina o le ki gaosia gaioiga o maualuga-tulaga matagaluega laupapa mo lau faʻasino.
Tasi, o le autu gaosiga faigata
Faʻatusatusa i uiga o le masani matagaluega oloa laupapa, o le maualuga-tulaga matagaluega laupapa i ai uiga o le mafiafia vaega laupapa, sili atu faaputuga, sili atu mafiafia laina ma pu, lapoʻa tele vaega, manifinifi auala vaega, ma isi, ma totonu avanoa, va -Faʻafesoʻotaʻi taʻavale, faʻafitauli impedance ma le faʻatuatuaina manaʻoga e sili atu ona faigata.
1.1 Faigata o fesoʻotaʻiga interlayer
Ona o le tele numera o maualuga-tula laupapa faaputuga, o le tagata faatau faʻaiuga iʻuga sili atu ma sili atu ona saʻo manaʻoga i luga o le gatasi o PCB faaputuga. E masani lava, o le faʻatulagaina faapalepale i le va o faaputuga e pulea e avea ± 75μm. Mafaufau i le lapoʻa tele o maualuga-tulaʻi laupapa elemeni mamanu, o le siosiomaga vevela ma le susū o kalafi faaliliuina mafutaga faaleaoaoga, ma le dislocation superposition mafua mai i le le ogatasi o le faalauteleina ma le vaʻaia o eseʻese autu laupapa faaputuga, o le tulaga tulaga i le va o faaputuga ma isi mea, E faʻafaigata ai ona taofiofia le faʻavasega i le va o faaputuga o le laupapa maualuga-tulaʻi.
1.2 Faigata i le faia o totonu matagaluega
O le maualuga-tulaʻi laupapa faʻaaogaina mea taua e pei o le maualuga TG, maualuga saosaoa, maualuga taimi, mafiafia apamemea, manifinifi auala vaega, ma isi, lea e tuʻuina i luma maualuga manaʻoga i luga o le faia matagaluega totonu ma kalafi tele pulea, e pei o le faʻamaoni o faʻafitauli faʻailogaina faʻailo, lea e faʻateleina ai le faigata o le fausiaina o matagaluega i totonu. Laina lautele laina laina e laʻititi, matala puʻupuʻu faʻateleina siʻitia, Laiti puʻupuʻu faʻaopoopo, maualalo pasene fua faatatau; E tele faʻailo faailo i le laina mafiafia, ma o le avanoa o AOI misia mauaina i le pito i totonu faʻateleina. O le mafiafia o le pito i totonu autu ipu e manifinifi, faigofie e gaugau e mafua ai le le lelei faʻaalia, faigofie e taavale ipu peʻa etching; Ole tele ole laupapa maualuga ole laupapa laupapa, ma ole lapoa ole vaega e telē, o lea ole tau ole faʻamamaina o oloa e fai lava si maualuga.
1.3 Faigata o le soona fai
Ole pito i totonu ole ipu ma papatusi e faʻamaloloina na faʻapipiʻiina, ma o faʻaletonu e pei ole slide plate, lamination, resin cavity ma bubble reside e faigofie ona gaosia i le taimi oomiina le gaosiga. I le fuafuaina o laminated fausaga, e tatau ona matua mafaufau loloto i le mea tetee vevela, voltage tetee, le aofaʻi o kelu ma le mafiafia o le auala, ma seti se talafeagai maualuga-tulai ipu unaʻi polokalame. Ona o le tele numera o faaputuga, o le faʻalauteleina ma shrinkage pulea ma le tele coefficient taui e le mafai ona taofia le tumau; O le manifinifi manifinifi vaega i le va o faaputuga faigofie ona taitai atu i le le manuia o le faatuatuaina tofotofoga i le va o faaputuga. Ata 1 o le faʻaletonu ata o le pa ipu delamination ina ua maeʻa vevela faʻataʻitaʻiga tofotofoga.

1.4 Manatu faigata ile viliina
Faʻapitoa ipu apamemea ma maualuga TG, maualuga saosaoa, maualuga taimi ma mafiafia mafiafia o loʻo faʻaaogaina e faʻateleina ai le faigata o le viliina talatala, burr ma decontaminate. O le aofai o faaputuga, aofaiga atoa mafiafia apamemea ma mafiafia ipu, faigofie e gagau le viliina naifi; CAF faʻaletonu mafua mai i mafiafia BGA ma vaapiapi pu pu va; O le mafiafia o le ipu mafolafola mafai ona tau atu i le faʻafitauli o le mimilo viliina.
Ou Faʻatonutonuina o faiga autu e gaosia ai

2.1 Filifiliga Mea
Faatasi ai ma le maualuga gaioiga gaioiga mo eletise vaega, sili atu ona aoga i le itu o atinae, i le taimi lava e tasi ma le maualuga taimi, maualuga televave atinae o faailoiloina, o lea o le eletise matagaluega eletise dielectric tumau ma dielectric leiloa e maualalo, ma maualalo CTE, maualalo vai mitiia ma maualuga gaioiga ‘apamemea ofu mea sili atu, e faʻamalieina ai le manaʻoga o le pito i luga ipu gaosi ma le faʻatuatuaina. E masani ona faʻaaoga e le au sapalai sapalai le A series, B series, C series and D series. Vaʻai le Laulau 1 mo le faʻatusatusaga o le autu uiga o nei fa substrate totonu. Mo luga mafiafia afa afa solidification o apamemea matagaluega laupapa filifili maualuga resin mataupu, interlayer afa o solidification vaega o resin tafe ua lava e ata faatumuina, dielectric vaega e matua mafiafia faigofie e foliga mai o le maeʻa ipu sili mafiafia, ae slants manifinifi, dielectric vaega e faigofie e iʻu ai i layered auala, maualuga uunaiga tofotofoga toilalo pei o le lelei faʻafitauli, o lea o le filifiliga o dielectric mea e taua tele.

2.2 Laminated fausaga tisaini
I le mamanu o le laminated fausaga, o le autu mea e tatau ona mafaufauina o le vevela teteʻe o mea, o le voltage tetee, o le aofaʻi o kelu ma le mafiafia o le medium layer, ma isi O mataupu autu taua ia e tatau ona mulimulitaʻia.
(1) O le semi-faʻamaloloina fasi ma le autu ipu gaosi oloa tatau ona ogatasi. Ina ia mautinoa PCB faʻatuatuaina, uma vaega o laʻau faʻamaloloina papa e tatau ona aloese mai le faʻaaogaina o se tasi 1080 poʻo le 106 semi-faʻamaloloina papa (seʻi vagana mo faʻapitoa manaʻoga o tagata faʻatau). A leai se manaʻoga o le feololo mafiafia, o le mafiafia o auala i le va o faaputuga tatau ona ≥0.09mm tusa ai ma IPC-A-600g.
(2) A o le tagata faʻatau manaʻomia maualuga TG ipu, o le autu ipu ma semi-faʻamaloloina ipu tatau ona faʻaaogaina le tutusa maualuga TG mea.
(3) Totonu substrate 3OZ pe o luga atu, filifili maualuga resin mea o papaʻa ua faʻamaloloina papa, pei o 1080R / C65%, 1080HR / C 68%, 106R / C 73%, 106HR / C76%; Ae ui i lea, o le faʻavaeina o 106 laupepa semi-faʻamaloloina ma maualuga pipiʻi tatau ona aloese mai le tele e mafai ai e puipuia ai le soʻofaʻatasi o le tele 106 semi-faʻamaloloina laupepa. Talu ai o le tioata vulu vulu e manifinifi lava, o le pa’ū o tioata vulu vulu i le tele substrate eria o le a aʻafia ai le dimensional mautu ma le lamination o le ipu pa.
(4) Afai o le tagata faʻatau e leai ni manaʻoga faʻapitoa, o le mafiafia faʻapalepaleina o interlayer auala e masani ona faʻatonutonuina e +/- 10%. Mo le impedance ipu, o le mafiafia faʻapalepale o auala o loʻo puleaina e IPC-4101 C / M faʻapalepale. Afai o le faʻatosina aʻafia mafuaʻaga e fesoʻotaʻi ma le mafiafia o le substrate, o le ipu faʻapalepale e tatau foi ona faʻatonutonuina e IPC-4101 C / M faʻapalepale.
2.3 Faʻatonutonuina laina faʻafetaui
O le sao o le totonugalemu vaega vaega tele taui ma le gaosiga tele lapoʻa manaʻomia e faʻavae i luga o faʻamaumauga ma faʻamaumauga faʻamaumauga aoina i le gaosiga i se taimi faapitoa o taimi e faʻalelei totogiina le kalafi tele o vaega taʻitasi o le pito i luga vaega e mautinoa ai le tumau o le faʻalauteleina ma faʻaitiitia o vaega taʻitasi o le vaega autu. Filifili maualuga-tonu ma sili ona faʻatuatuaina interlamination tulaga i luma oomiina, pei o le fa-slot tulaga (Pin LAM), vevela liusuavai ma rivet tuʻufaʻatasia. O le ki e mautinoa ai le tulaga lelei o le oomiina o le seti talafeagai fetaomi gaioiga ma aso uma tausiga o le lolomitusi, pulea le oomi kelu ma le maluluina aafiaga, ma faaititia le faafitauli o le dislocation i le va o faaputuga. Interlayer fetaui lelei faʻatonutonu manaʻomia e tatau ona iloiloina maeʻaeʻa mai le pito i totonu vaega taui taua, fetaomi tulaga mode, oomiina auala tapulaa, mea totino meatotino ma isi mea.
2.4 Faʻagasologa o laina i totonu
Talu ai o le auiliiliga agavaʻa o masani faʻaaliga masini e tusa ma le 50μm, mo le gaosiaina o le maualuga-tulaga laupapa, leisa tuusaʻo ata (LDI) mafai ona faʻalauiloaina e faʻaleleia atili le kalafi tomai auiliili, o le auiliiliga manaʻo e uiga i 20μm. O le faʻatulagaina sao o masani faʻaaliga masini o ± 25μm, ma o le interlayer fetaui faʻatasi saʻo sili atu nai lo 50μm. O le faʻatulagaina sao o le kalafi mafai ona faʻaleleia e tusa ma le 15μm ma le interlayer faʻatulagaina sao mafai ona faʻatonutonuina i totonu o le 30μm e ala i le faʻaaogaina maualuga-faʻatulagaina faʻaaliga masini, lea e faʻaititia ai le faʻasologa tuʻufaʻatasia o tu ma aga masani meafaigaluega ma faʻaleleia atili ai le interlayer faʻatulagaina tulaga maualuga o le maualuga-tulaʻi laupapa.
Ina ia mafai ona faʻaleleia le laina etching agavaʻa, e manaʻomia le tuʻuina atu o taui talafeagai i le lautele o le laina ma le pad (poʻo le faʻamau uʻamea) i le inisinia tisaini, ae e manaʻomia foi ona faia se auiliiliga iloiloina iloiloga i le tau aofai o faʻapitoa ata, e pei o matasele matagaluega, tutoʻatasi matagaluega ma isi. Faʻamaonia pe o le tisaini taui mo laina lautele lautele, laina mamao, tuʻu mama mama tele, tutoatasi laina, pu-i-laina mamao e talafeagai, pe suia le inisinia mamanu. O le tisaini o faʻafitauli ma faʻatonutonu tali atu manaʻomia manaʻomia pe o le tisaini taui o tutoatasi laina ma laina faʻafitauli ua lava. O le tapulaʻa e lelei pulea pe a faʻapipiʻiina, ma o le muamua fasi e mafai ona tele gaosia pe a maeʻa faʻamaonia o se agavaʻa. Ina ia mafai ona faʻaititia le tatalaina o le itu o le tafia o le eleele, e tatau ona taofiofia le tuufaatasiga o le etch solution i le tulaga sili ona lelei. O le mea faʻaleaganuʻu laina laina meafaigaluega e lava le agavaʻa agavaʻa, o lea o mea faigaluega e mafai ona faʻaleleia faʻatekonolosi pe faʻaulufale mai i totonu o le maualuga-le atoatoa laina laina e faʻaoga e faʻaleleia atili ai le laugatasia o le etching, faʻaititia le etching burr, etching mama ma isi faʻafitauli.
2.5 Faʻasologa o faiga
I le taimi nei, o le interlayer faʻatulagaina metotia ae leʻi oomiina tele lava aofia ai: fa-slot tulaga (Pin LAM), vevela liusuavai, rivet, vevela liusuavai ma rivet tuʻufaʻatasia. Eseese oloa fausaga faaaoga eseese tulaga tulaga. Mo papatusi tulaga maualuga, tulaga fa-tulaga (Pin LAM), poʻo le fusion + riveting, OPE tuʻiina ia faʻatulagaina pu ma le faʻatonutonu pulea i le ± 25μm. I le taimi o le faʻaputuga gaosiga, e tatau ona siaki pe o peleti taʻitasi ua fuse i totonu o le iunite e puipuia mulimuli ane stratification. O le masini e faʻamalosi e faʻaogaina le maualuga-faʻatinoina o le lolomiina fetaomi e faʻafetaui ai le fetaui lelei o fesoʻotaʻiga ma le faʻatuatuaina ole ipu maualuga.
E tusa ai ma le pito i luga ipu laminated fausaga ma le mea na faʻaaogaina, o le talafeagai fetaomi taualumaga, seti le sili ona lelei faʻavevela fua faatatau ma piʻoga, luga masani multilayer PCB fetaomi taualumaga, talafeagai e faʻaititia ai le fetaomi laupepa uamea faʻavevela fuaina, faʻalauteleina maualuga vevela faʻamalolo taimi, faia le resin tafe, fofoina, i le taimi lava e tasi aloese mai le sikureboard i le gaioiga o le oomi, interlayer fesuiaʻi faafitauli. O mea taua o le TG e le tutusa, e le mafai ona tutusa ma le laupapa valavala; Masani tapulaʻa o le laupapa e le mafai ona faʻafefiloi ma faʻapitoa faʻapitoa o le laupapa; Ina ia mautinoa le talafeagai o le faʻalauteleina ma fetaomi coefficient, o le faʻatinoina o eseese papatusi ma semi-faʻamaloloina laupepa e eseʻese, ma le tutusa semi-faʻamaloloina laupepa tapulaʻa tatau ona faʻaaoga mo le fetaomiina, ma o mea faʻapitoa e le i faʻaaogaina tatau ona faʻamaonia le tapulaʻa faʻagasologa.
2.6 Viliina gaioiga
Ona o le vaʻaiaʻiga o vaega taʻitasi, o le ipu mafolafola ma le apa memea e sili atu mafiafia, lea e mafua ai ogaoga laei i luga o le viliʻu ma e faigofie ona gagau le meafaigaluega viliina. O le aofai o pu, paʻu saosaoa ma fevaveai saosaoa tatau ona talafeagai tuutuu i lalo. Fua fua le faʻalauteleina ma le faʻaofiina o le ipu mafolafola, tuʻuina atu ia tutusa lelei coefficient; Le aofai o faaputuga ≥14, pu lapoa ≤0.2mm po o le pu i laina mamao ≤0.175mm, o le faaaogaina o pu sao ≤0.025mm viliina tuuina; Laʻasaga viliina e faʻaaogaina mo le lapoa φ4.0mm pe o luga atu, e faʻaaogaina le laasaga e viliina ai le mafiafia i le lautele o le fuataga 12: 1, ma le lelei ma le le lelei o le faʻaogaina e faʻaaogaina. Pulea le viliina luma ma pu lapoa. Taumafai e faʻaoga se naifi faʻavili fou pe olo le naifi pu e faʻavili ai le laupapa pito i luga. O le pu lapoa e tatau ona faʻatonutonuina i totonu o le 1um. Ina ia mafai ona foʻia le faʻafitauli burr o pu viliina o mafiafia ipu apamemea i tulaga maualuga, ua faʻamaonia e faaputuga tofotofoga o le faʻaaogaina maualuga density pad, stacking ipu numera o se tasi ma viliina vaega oloina taimi o loʻo pulea i totonu o le 25 taimi mafai lelei faʻaleleia burr o pu viliina

Mo maualuga taimi, maualuga saosaoa ma tele faʻamatalaga tuʻuina atu o maualuga laupapa, toe viliina tekinolosi o se lelei auala e faʻaleleia ai faʻailo faamaoni. O le vili i tua e masani lava ona faʻatonutonuina le umi o toega o toega, o le tumau o le pu nofoaga i le va o lua viliina pu ma le ‘apamemea uaea i le pu. E leʻo mea faigaluega uma e toe faʻagao, e manaʻomia le faʻaleleia o meafaigaluega (e toe viliina i tua), pe faʻatau mai se mea e faʻaoga ai tua. O le viliina tua metotia faʻaaogaina i talafeagai alamanuia tusitusiga ma matua matua tele gaosiga tele lava aofia ai: tu ma aga loloto pulea toe viliina metotia, tuaina viliina ma faʻailoga tali atu vaega i le vaega i totonu, fuafuaina o le loloto i tua viliina e tusa ma le fuataga o le mafiafia ipu, lea o le a le toe fai ii.
Tolu, faʻatuatuaina suʻega
le laupapa tulaga maualuga e masani lava o le faiga laupapa, mafiafia nai lo le masani multilayer laupapa, mamafa, lapoʻa tele iunite, o le tutusa gafatia gafatia e tele foi, i le uelo, le manaʻoga mo sili vevela, o le uelo maualuga vevela taimi e umi. E manaʻomia le 50 i le 90 sekone i le 217 ℃ (vaega faʻaliusuavai o le apa-siliva-apamemea solder), ma o le saoasaoa malulu o le maualuga-maualuga ipu e fai si tuai, o lea la o le taimi o le faʻataʻitaʻiga o le faʻaaogaina o le uila ua faʻalauteleina. I le tuʻufaʻatasia ma ipC-6012C, IPC-TM-650 faʻataʻitaʻiga ma alamanuia manaʻoga, o le autu faʻatuatuaina suʻega o le maualuga-maualuga ipu o loʻo faʻamatalaina i le Laulau 2.

Table2