Kiyi yekugadzira maitiro maitiro ekudzora epamusoro danho redunhu bhodhi

Iyo yepamusoro-soro PCB inowanzo rondedzerwa sematanho gumi – makumi maviri akaturikidzana kana kupfuura eiyo yakakwira akawanda-masenduru wedunhu bhodhi. Izvo zvinonyanya kuomarara kuita kupfuura echinyakare akawanda-masenduru wedunhu bhodhi, uye hunhu hwayo uye kuvimbika zvinodiwa zvakakwirira. Inonyanya kushandiswa mumidziyo yekutaurirana, maseva ekumusoro-soro, zvemagetsi zvekurapa, kubhururuka, kutonga kwemaindasitiri, kwemauto uye mimwe minda. Mumakore achangopfuura, kudiwa kwepamusoro-kukwira bhodhi musika mukushandisa kutaurirana, base chiteshi, avhiyesheni, zvemauto uye mamwe minda achiri akasimba, uye nekukurumidza kuvandudza kweChina telecom michina musika, tarisiro yepamusoro-simuka bhodhi musika iri kuvimbisa .
Parizvino, iyo hombe-pamwero kugadzirwa kwepamusoro-pamwero pcb vagadziri muChina kunyanya vanobva kune vekunze-vanobhadharwa mabhizinesi kana mashoma mashoma emabhizinesi epamba. Kugadzirwa kwepamusoro-chikamu chebhodhi redunhu hakungodi chete tekinoroji yepamusoro uye michina yekudyara, asi zvakare zvinoda kuunganidzwa kwezviitiko zvevashandi vehunyanzvi uye vashandi vekugadzira. Panguva imwecheteyo, kuunzwa kwepamusoro-chikamu bhodhi mutengi chitupa maitiro akaomarara uye akaremara, saka epamusoro-chikamu chikamu wedunhu bhodhi inopinda mubhizimusi ine yakakwira chikumbaridzo, uye maindasitiri ekugadzira kutenderera akareba. Ivhareji nhamba yezvikamu zvePCB yakave yakakosha indekisi yekuyera tekinoroji uye chigadzirwa chemabhizinesi ePBB. Iri bepa rinotsanangura muchidimbu mainini ekugadzirisa matambudziko akasangana nawo mukugadzirwa kwepamusoro-chikamu redunhu bhodhi, uye inosuma makiyi ekudzora eiyi kiyi yekugadzira maitiro eepamusoro-chikamu redunhu bhodhi kune yako mareferenzi.
Imwe, hombe matambudziko ekugadzira
Inofananidzwa nehunhu hweyakajairwa wedunhu bhodhi zvigadzirwa, iyo yepamusoro-chikamu redunhu bhodhi ine hunhu hweyakafuta bhodhi zvikamu, mamwe matanda, mamwe makobvu mitsara nemakomba, hombe yuniti saizi, yakatetepa yepakati nhete, nezvimwewo, uye yemukati nzvimbo, inter -matanho kurongedza, impedance kudzora uye kuvimbika zvinodiwa zvakanyanya kuomarara.
1.1 Zvakaoma kuomarara kuenderana
Nekuda kwenhamba yakakura yepamusoro-simuka mabhodhi akaturikidzana, mutengi dhizaini magumo ane zvakanyanya uye zvakanyanya kuomarara zvinodiwa pane kuenderana kwePCB akaturikidzana. Kazhinji, kuenderana kushivirira pakati pezvikamu zvinodzorwa kuva ± 75μm. Tichifunga nezve saizi hombe yeakakwira-kukwira bhodhi element dhizaini, iyo ambient tembiricha uye hunyoro hwe graphic yekuchinjisa musangano, uye dislocation superposition inokonzerwa nekusawirirana kwekuwedzera uye kudzvinyirira kweakasiyana epakati mabhodhi akaturikidzana, mamiriro ekumisikidza pakati pezvikamu uye zvimwe zvinhu, It zvinoita kuti zvinyanye kuomarara kudzora kuenderana pakati pezvikamu zvebhodhi repamusoro-soro.
1.2 Dambudziko mukugadzira wedunhu remukati
Iyo yepamusoro-simuka bhodhi inotora yakakosha michina senge yakakwira TG, kumhanya kumhanya, yakakwira frequency, gobvu mhangura, yakatetepa yepakati nhete, nezvimwewo, izvo zvinoisa kumberi kukwirira zvinodikanwa pane yemukati redunhu nhema uye graphic saizi kudzora, sekuvimbika kweiyo impedance kufambisa chiratidzo, izvo zvinowedzera kuoma kwemukati wedunhu nhema. Mutsara upamhi mutsetse daro diki, yakavhurika pfupi redunhu kuwedzera, micro pfupi kuwedzera, yakaderera kupfuura chiyero; Kune mamwe maratidziro emasaini mumutsetse wakakora, uye mukana weAOI usingaonekwe mukati mechikamu chemukati unowedzera. Ukobvu hwendima yepakati ndiro yakatetepa, nyore kupeta zvichikonzera kushomeka kwakashata, nyore kupeta ndiro kana ichitema; Mazhinji emabhodhi ekumusoro-soro mabhodhi ehurongwa, uye chiyero cheyuniti hombe, saka mutengo wechinhu chakapedzwa chigadzirwa chakareba.
1.3 Zvakaoma zvekutsikirira kugadzirwa
Akawanda emukati epakati mahwendefa uye epasi-akapora mahwendefa akaiswa pamusoro, uye zvikanganiso zvakaita semasiraidhi ndiro, lamination, resin cavity uye bubble masara zvinogadzirwa zviri nyore panguva yekutsikirira kugadzirwa. Mukugadzirwa kweyakaomeswa chimiro, zvinodikanwa kuti unyatsoongorora kupisa kwemidziyo, kushaya magetsi, huwandu hweglue uye ukobvu hwepakati, uye kuseta zvine musoro kukwirira – kukwira ndiro yekutsikirira chirongwa. Nekuda kwenhamba yakakura yematanho, iko kuwedzera uye kudzikira kwekudzora uye saizi coefficient muripo haigone kuchengeta kuenderana; Iyo yakatetepa yekuvhara dura pakati pezvikamu nyore inotungamira kukundikana kwekuvimbika bvunzo pakati pezvikamu. Mufananidzo 1 ndicho chakakanganisika dhayagamu yeakaputika ndiro delamination mushure mekupisa kwekushushikana bvunzo.

1.4 Nzvimbo dzakaomarara mukuchera
Mahwendefa akakosha emhangura ane yakakwira TG, kumhanyisa kumhanya, kuwanda frequency uye gobvu gobvu zvinoshandiswa kuwedzera kuoma kwekuboora roughness, burr uye kusvibisa. Huwandu akaturikidzana, zvachose mhangura ukobvu uye ndiro ukobvu, nyore kutyora banga kuchera; Kukundikana kweCAF kunokonzerwa neyakawandisa BGA uye yakatetepa gomba pamadziro nzvimbo; Ukobvu hweplate hunogona kutungamira kune dambudziko re skew kuchera.
Ii. Kudzora kwemaitiro ekugadzira akakosha

2.1 Kusarudzwa Kwezvinhu
Iine yakakwira kuita kwekugadzirisa kwezvinhu zvemagetsi, zvinoshanda zvakanyanya munzira yekuvandudza, panguva imwe chete iine huwandu hwakawanda, kukurumidza kumhanya kwekutenderera kwechiratidzo, saka yemagetsi wedunhu zvinhu dielectric kugara uye dielectric kurasikirwa yakaderera, uye yakaderera CTE, yakaderera mvura Absorção de ptiongua uye mukuru waivako mhangura akapfeka zvinhu nani, kugutsa chinodiwa pamusoro ndiro kugadzirwa uye rakavimbika. Inowanzo shandiswa ndiro vatengesi kunyanya inosanganisira A akateedzana, B akateedzana, C akateedzana uye D akateedzana. Ona Tafura 1 yekuenzanisa kweakakosha maitiro eaya mana epasi substrate. Kune yepamusoro gobvu hafu solidification yemhangura wedunhu bhodhi inosarudza yakakwira resin yezviri mukati, interlayer hafu yekusimbisa dura reresin kuyerera inokwana kugirafu mafirimu, dielectric rara rakanyanya kukora nyore kuoneka yakapera ndiro yakanyanya gobvu, nepo slants yakatetepa, dielectric rukoko iri nyore kukonzeresa pakapfava svikiro, yakanyanya kumanikidza bvunzo kutadza senge dambudziko remhando yepamusoro, saka kusarudzwa kwe dielectric zvinhu kwakakosha.

2.2 Yakarongedzwa dhizaini dhizaini
Mukugadzirwa kwechimiro chakamisikidzwa, zvinhu zvikuru zvinofanirwa kutariswa imhepo inodzivisa zvinhu, kuramba kwemagetsi, huwandu hweglue uye ukobvu hwesvikiro repakati, nezvimwe zvinotevera zviga zvinotevera zvinofanirwa kuteedzerwa.
(1) Chidimbu chakarapwa uye chigadziko cheplate chinofanira kunge chichienderana. Kuti uve nechokwadi chekuvimbika kwePBB, zvidimbu zvese zvemapiritsi ekurapa anofanirwa kudzivirira kushandisa imwechete kana 1080 mapiritsi ehafu-akarapwa (kunze kwezvakakosha zvinodiwa nevatengi). Kana pasina chinodiwa chekukora kwepakati, hukobvu hwepakati pakati pezvikamu hunofanira kuva -106mm zvinoenderana neIPC-A-0.09g.
(2) Kana mutengi achida yakakwira TG ndiro, yepakati ndiro uye semi-yakaporeswa ndiro inofanira kushandisa inoenderana yakakwira TG zvinhu.
(3) Inner substrate 3OZ kana pamusoro, sarudza yakakwira resin zvemukati mapiritsi akapora, senge 1080R / C65%, 1080HR / C 68%, 106R / C 73%, 106HR / C76%; Nekudaro, iyo dhizaini dhizaini ye106 semiti-yakaporeswa machira ane anonamatira akakwirira inofanirwa kudzivirirwa zvakanyanya sezvinobvira kudzivirira kupunzika kwemazhinji 106 semiti-yakaporeswa machira. Nekuti iyo girazi fiber furu yakatetepa, kudonha kwegirazi fiber furu mune yakakura substrate nzvimbo kunokanganisa kuenzana kwekugadzikana uye kubviswa kweplate yekuputika.
(4) Kana mutengi asina akakosha zvinodiwa, iyo ukobvu shiviriro yeanopindirana wepakati inowanzo kudzorwa ne +/- 10%. Kune impedance ndiro, iyo ukobvu shiviriro yepakati inodzorwa neIPC-4101 C / M kushivirira. Kana iyo impedance inokonzeresa chinhu ichienderana neukobvu hweiyo substrate, iyo ndiro shiviriro inofanirwawo kudzorwa neIPC-4101 C / M shiviriro.
2.3 Interlayer kuenderana kudzora
Kurongeka kwemukati wepaneru wepaneru saizi muripo uye dhizaini yekugadzira inofanirwa kuve inoenderana nedata uye nhoroondo dhata yakaunganidzwa mukugadzirwa mune imwe nguva yenguva yekunyatsorodza saizi yemifananidzo yedanho rega rega repaneru repamusoro kuve nechokwadi chekuenderana kweiyo kuwedzera uye kudzvinyirira kwega yega yega yepaneru pani. Sarudza yakakwira-chaiyo uye yakatendeseka yekumisidzana yekumisikidza usati wadzvanya, senge ina-slot yekumisikidza (Pin LAM), inopisa inyauka uye rivet mubatanidzwa. Kiyi yekuona mhando yekutsimbirira ndeyekumisikidza yakakodzera yekutsikirira maitiro uye zuva nezuva kugadzirisa iyo yekudhinda, kudzora iyo yekutsikirira glue uye kutonhora mhedzisiro, uye kudzikisa dambudziko rekuparadzaniswa pakati pezvikamu. Interlayer alignment control inoda kutariswa zvakazara kubva mukati memukati rembudziro kukosha, kudzvanya kumisikidza maitiro, kudzvanya maitiro parameter, zvinhu zvemidziyo uye zvimwe zvinhu.
2.4 Inner mutsara maitiro
Nekuti iyo yekugona kugona kweyechinyakare yekutsikisa muchina ingangoita 50μm, kuitira kugadzirwa kwepamusoro-chikamu bhodhi, laser yakanangana nemufananidzo (LDI) inogona kuunzwa kuvandudza graphic analytical kugona, iyo yekuyera kugona ingangoita 20μm. Iko kurongeka kwakaringana kwetsika yekuratidzira muchina iri ± 25μm, uye iyo interlayer kuenderana kururamisa yakakura kupfuura 50μm. Positioning kunyatsoita girafu inogona kuvandudzwa kusvika anenge 15μm uye interlayer Positioning Kururamisa inogona kudzorwa mukati 30μm nokushandisa mukuru-nemazvo Positioning pachena muchina, izvo zvinoderedza Positioning kutsauka zvetsika michina uye anovandudza interlayer Positioning kunyatsoita kwepamusoro-kumuka bhodhi.
Kuti uwedzere kugona kwetambo kugona, zvinofanirwa kupa muripo wakakodzera kune upamhi hwetambo nepadhi (kana mhete yekuwelda) mune dhizaini dhizaini, asi zvakare unofanirwa kuita zvakadzama dhizaini kutarisisa kune muripo huwandu weakasarudzika. mifananidzo, senge loop redunhu, redunhu rakazvimirira zvichingodaro. Simbisa kana dhizaini yekugadzira yemukati mutsetse upamhi, tambo kure, kuregedza ringi saizi, yakazvimirira tambo, gomba-kune-mutsara daro zvine musoro, kana shandura dhizaini dhizaini. Dhizaini yeimedance uye inductive reactance inoda kutariswa kana iyo dhizaini yekubhadhara yeyakaimiririra tambo uye impedance tambo yakakwana. Iyo parameter inodzorwa zvakanaka kana ichicheka, uye chidimbu chekutanga chinogona kuve chakagadzirwa mushure mekusimbiswa sevanokwanisa. Kuti uderedze etching yekukukurwa kwepasirese, zvakakosha kudzora kuumbwa kwetch mhinduro mune yakanakisa renji. Chinyakare etching mutsetse michina ine isina kukwana etching kugona, saka iyo midziyo inogona nehunyanzvi yakagadziridzwa kana kupinzwa mune yakakwira-chaiyo yekucheka mutsara michina yekuvandudza iyo etching kufanana, kuderedza iyo etching burr, etching kusachena uye mamwe matambudziko.
2.5 Kudzvanya maitiro
Parizvino, iyo interlayer yekumisa nzira usati wadzvanya kunyanya inosanganisira: ina-slot position (Pin LAM), inopisa inyauka, rivet, inopisa inyauka uye rivet mubatanidzwa. Zvigadzirwa zvakasiyana zvigadzirwa zvinotora nzira dzakasiyana dzekumisikidza. Kune epamusoro mahwendefa, ina-slot kumisikidza (Pin LAM), kana fusion + riveting, OPE inobaya kunze kwekumisikidza maburi nekururamisa inodzorwa kune ± 25μm. Munguva yekugadzirwa kwebatch, zvakafanira kutarisa kuti ndiro yega yega yakasunganidzwa here muchikamu kudzivirira stratification inotevera. Iyo yekutsikirira michina inotora yakakwira-yekuita inotsigira yekudhinda kuti isangane neyepakati kuenzanisa kururamisa uye kuvimbika kwepamusoro-simuka ndiro.
Sekureva kwepamusoro ndiro yakadzvanywa dhizaini uye izvo zvinoshandiswa zvinoshandiswa, zvakakodzera kudzvanya maitiro, isa yakanakisa kudziya mwero uye curve, pane yakajairwa multilayer pcb yekutsikirira maitiro, akakodzera kudzikisa iyo yekutsikirira jira simbi yekupisa mwero, yakawedzera yakakwira tembiricha kurapa nguva, ita iyo nebwe kuyerera, kurapa, panguva imwechete dzivisa iyo skateboard mukuita yekutsikirira, interlayer misha dambudziko. Chinyorwa TG kukosha haisi iro rimwe bhodhi, haigone kuve yakafanana kabhodhi bhodhi; Zvinowanzoitwa parameter zvebhodhi hazvigone kusanganiswa nematanho akasarudzika ebhodhi; Kuti uve nechokwadi chekunzwisisika kwekuwedzera uye kubiridzira kwekukwanisika, mashandiro emaplate akasiyana uye machira-akaporeswa machira akasiyana, uye zvinoenderana semi-zvakaporeswa pepa parameter inofanira kushandiswa kutsikirira, uye izvo zvakakosha zvinhu zvisati zvamboshandiswa zvinoda kuongorora maitiro parameter.
2.6 Kuchera maitiro
Nekuda kwekuwedzeredzwa kweiyo yega yega, ndiro uye mhangura yemhangura zvakakora, izvo zvinokonzeresa kupfeka kwakanyanya pachibhorani uye zviri nyore kupaza chishandiso che drill. Huwandu hwemakomba, kudonha kumhanya uye kutenderera kumhanya kunofanirwa kudzikiswa nenzira kwayo. Kuyera nenzira kwayo kuwedzera uye kudzvinyirira kweplate, ichipa coefficient chaiyo; Kuwanda akaturikidzana ≥14, mugomba dhayamita ≤0.2mm kana mugomba mutsetse daro ≤0.175mm, kushandiswa mugomba kururama ≤0.025mm chibooreso kugadzirwa; Nhanho kuchera inoshandiswa dhayamita φ4.0mm kana pamusoro, danho kuchera rinoshandiswa pakukora kusvika dhayamita reshiyo 12: 1, uye yakanaka uye yakaipa kuchera rinoshandiswa kugadzirwa. Kudzora kuchera mberi uye mugomba dhayamita. Edza kushandisa banga nyowani kana kukuya 1 yekuboora banga kuti uboore iro repamusoro bhodhi. Iyo dhayamita yeguru inofanira kudzorwa mukati me25um. Kuti ugadzirise dambudziko re burr rekuboora gomba rehwendefa rakakora remhangura padanho repamusoro, zvinoratidzwa nebatch batch kuti kushandisa yakakwira density padhi, stacking plate nhamba ndeimwe uye kuchera nguva yekukuya inodzorwa mukati mekatatu nguva inogona kuvandudza burr ye kuchera gomba

Kune yakakwira frequency, kumhanya nekukurumidza uye kuwanda kwedhata kutapudza kwepamusoro bhodhi, back yekuchera tekinoroji inzira inoshanda yekuvandudza chiratidzo kutendeka. Iyo yekumashure kuboora inonyanya kudzora kureba kwekusara stub, kuenderana kwegomba nzvimbo pakati pemakomba maviri ekuchera uye waya yemhangura mugomba. Haasi ese ekushandisa driller ane kumashure ekuchera basa, zvinodikanwa kuti uite technical tekinoroji yemidziyo yekudzidzira (ine musana wekuchera basa), kana kutenga driller ine kumashure kudhiza basa. Iwo ekumashure ekuchera matekiniki anoshandiswa akakodzera maindasitiri mabhuku uye akakura mashoma kugadzirwa anonyanya kusanganisira: echinyakare kudzora kudzora kumashure ekuboora nzira, kumashure kudhiraina nerevo mhinduro dura mune yemukati dura, kuverenga kwehupamhi kudzoka kumashure kuchera zvinoenderana neiyo ratio yeplate ukobvu, iyo isingazo dzokororwa pano.
Tatu, kuvimbika bvunzo
The bhodhi repamusoro inowanzo iri system bhodhi, iri mukobvu kupfuura yakajairwa multilayer bhodhi, inorema, hombe unit saizi, inoenderana kupisa kugona iri zvakare yakakura, mukuwisira, kudiwa kweakawanda kupisa, iyo yekuwisira yakakwira tembiricha nguva yakareba. Zvinotora masekondi makumi mashanu kusvika makumi mapfumbamwe pa50 ℃ (nzvimbo inonyunguduka yetini-sirivheri-yemhangura), uye kumhanyisa kwekumhanyisa kweplate yepamusoro-soro kunononoka, saka nguva yekuyedza yeiyo yekutsiga kuwedzeredza inowedzerwa. Mukubatana ne ipC-90C, IPC-TM-217 zviyero uye zvinodiwa mumaindasitiri, bvunzo huru yekuvimbika kweplate yepamusoro inotsanangurwa muTafura 6012.

Table2